您好,欢迎光临本网站![请登录][注册会员]  

课程资源下载,软件测试下载列表 第2482页

« 1 2 ... .77 .78 .79 .80 .81 2482.83 .84 .85 .86 .87 ... 2492 »

[软件测试] delphi 简单四则计算器 (注析详细)

说明: 新手做的一个四则计算器,测试版本,逻辑基本还算清晰,代码也还算符合语法规范。新手制作。
<q1q1qq1qqq> 在 上传 | 大小:416768

[软件测试] 计算机软件技术基础 高巍

说明: 计算机是由一系列电子元件组成的、具有处理信息能力的机器。世界上第一台计算机是1946年在美国的宾西法尼亚大学研制成功的。计算机诞生60多年来,发展极为迅速,更新换代非常快。计算机先后以电子管、晶体管、集成电路、大规模和超大规模集成电路为主要元器件,共经历了四代变革,现在已进入第五代的研制时期。每一代的变革在技术上都是一次新的突破,在性能上都是一次质的飞跃。
<xyq107815> 在 上传 | 大小:6291456

[软件测试] LMD局域均值分解matlab测试程序

说明: LMD局域均值分解matlab测试程序 写的不完善,还存在没有去处骑行波的问题 拿出来分享是希望有人能够完善该程序
<hivdg> 在 上传 | 大小:20480

[软件测试] 画包络谱和幅值谱的matlab程序

说明: 文件中有3个文件,一个测试例程,两个源程序,都是matlab程序
<hivdg> 在 上传 | 大小:2048

[软件测试] looks 预设.rar

说明: looks 预设.rarlooks 预设.rar
<fxin8> 在 上传 | 大小:760832

[软件测试] asp源代码一个抽奖小程序

说明: 可以随机抽奖,可分为一二三等奖,可设置抽奖人数
<gaokun_024e> 在 上传 | 大小:63488

[软件测试] smtih圆图计算软件

说明: 可以根据输入阻抗计算SWR 角度 反射系数
<daiwei012> 在 上传 | 大小:2097152

[软件测试] 施耐德plc使用技巧精华总结

说明: 施耐德plc使用技巧精华总结施耐德plc使用技巧精华总结
<aoadag123> 在 上传 | 大小:2097152

[软件测试] 正则表达式,系统教程

说明: 正则表达式,系统教程,正则表达式系统教程
<yxiao_112> 在 上传 | 大小:43008

[软件测试] com精灵调试软件比较好用

说明: 串口调试精灵比较好用的版本 串口调试精灵比较好用的版本。。
<wangsheng422> 在 上传 | 大小:233472

[软件测试] mc-s51单片机试题

说明: mc-s51单片机试题、、、、、、、、。。。。。。。。。
<wxl5640> 在 上传 | 大小:142336

[软件测试] labview的数据采集基础知识

说明: 关于labview的数据采集基础知识,容易理解
<wang0632110> 在 上传 | 大小:414720
« 1 2 ... .77 .78 .79 .80 .81 2482.83 .84 .85 .86 .87 ... 2492 »