您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1.  交通灯控制器EDA实验报告

  2. 利用状态机设计一个交通控制器,控制十字路口主、支两条通道路的红、绿、黄三色灯,指挥车辆和行人安全通行 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。 要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿处。
  3. 所属分类:嵌入式

    • 发布日期:2012-11-28
    • 文件大小:88064
    • 提供者:aotuman111
  1. 交通灯控制器

  2. 交通灯控制器
  3. 所属分类:C++

    • 发布日期:2013-03-21
    • 文件大小:14680064
    • 提供者:qwertyui7895
  1. 基于VHDL语言与EDA交通灯控制器设计

  2. 基于VHDL语言与EDA交通灯控制器设计。
  3. 所属分类:软件测试

    • 发布日期:2013-03-31
    • 文件大小:194560
    • 提供者:qrt1437
  1. 数字电路课程设计——交通灯控制器,跑马灯

  2. 交通灯控制器,跑马灯,QuartusⅡ,Verilog hdl编程
  3. 所属分类:嵌入式

    • 发布日期:2013-07-03
    • 文件大小:510976
    • 提供者:u010508900
  1. 交通灯控制器VHDL

  2. 用VHDL 新编的一个 交通灯控制器!与FPGA结合,实现它的 硬件功能!
  3. 所属分类:交通

    • 发布日期:2008-09-23
    • 文件大小:5120
    • 提供者:wangshuilang11
  1. 交通灯控制器仿真

  2. 交通灯控制器仿真,利用纯数字电路实现交通灯模拟及计时
  3. 所属分类:软件测试

    • 发布日期:2014-07-01
    • 文件大小:408576
    • 提供者:sinat_16176141
  1. 交通灯控制器

  2. 交通灯控制器的课程设计,比较有想法的设计,值得共享
  3. 所属分类:专业指导

    • 发布日期:2014-07-31
    • 文件大小:7168
    • 提供者:htryyy
  1. 十字路口交通灯控制器1

  2. 十字路口交通灯控制器1 代码文件 单片机课程的实训报告
  3. 所属分类:其它

    • 发布日期:2015-06-16
    • 文件大小:176128
    • 提供者:qq_29091661
  1. 交通灯控制器

  2. 基于FPGA的交通灯控制器实验代码,直接可以使用。
  3. 所属分类:嵌入式

    • 发布日期:2015-12-17
    • 文件大小:385024
    • 提供者:u014253011
  1. 交通灯控制器的设计与实现

  2. 设计一个用于十字路口的交通灯控制器,根据不同时段对主要交通方向的信号进行调整
  3. 所属分类:交通

    • 发布日期:2008-12-16
    • 文件大小:402432
    • 提供者:dht173
  1. 基于VHDL语言的交通灯控制器设计

  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。 ~~~~~~~~~~~~~~~~~~~~~· 非常详细的设计过程,仿真图,设计思路,代码
  3. 所属分类:交通

    • 发布日期:2009-02-14
    • 文件大小:456704
    • 提供者:u010840581
  1. 交通灯控制器 ——数字系统设计报告

  2. 实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 设计要求 我在本课程中所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有
  3. 所属分类:专业指导

    • 发布日期:2020-03-04
    • 文件大小:1048576
    • 提供者:qq_42258207
  1. 交通灯控制器

  2. 用于十字路口的交通灯交通灯控制器程序,方便交通管理,维持良好交通秩序
  3. 所属分类:嵌入式

    • 发布日期:2011-12-09
    • 文件大小:32768
    • 提供者:zzb870818
  1. 交通灯控制器.docx

  2. 学校拟在校园中繁忙的学术路(A)和文化路(C)的十字路口安装交通灯,每条路上安装一组红黄绿交通灯来控制交通。还分别在两条路上安装了两个传感器TA和TC,当路上有人时,传感器输出1,没人输出0。采用VHDL的有限状态机来设计一个交通灯控制器Controller,要求实现以下控制功能: 1.按下复位键RST时,学术路上的绿灯亮,文化路上的红灯亮。 2.每隔5秒钟,控制器检查学术路传感器的输出。 3.若学术路上有人,灯不改变;若学术路上没人,则此路变为黄灯亮并保持5秒钟,然后变成红灯亮,同时文化路上变
  3. 所属分类:其它

    • 发布日期:2020-06-18
    • 文件大小:112640
    • 提供者:gyhboo
  1. 交通灯控制器源程序.zip

  2. 交通灯控制器源程序.zip
  3. 所属分类:其它

    • 发布日期:2020-06-28
    • 文件大小:23552
    • 提供者:Ywink6
  1. 基于VHDL的交通灯控制器设计

  2. 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:261120
    • 提供者:weixin_38549327
  1. 基于Multisim 10的十字路口交通灯控制器的设计与仿真

  2. 利用Multisim 10对十字路口交通灯控制器各个单元电路和整体电路的设计和仿真,只要点击鼠标就能方便、快捷地搭建电路,并且修改电路方便。在电路设计仿真完成之后再构建实际电路,从而降低了成本,大大提高了教学和专业设计的效率。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:260096
    • 提供者:weixin_38536349
  1. EDA/PLD中的EDA中的交通灯控制器JTDKZ的VHDL源程序

  2. 交通灯控制器JTDKZ的VHDL源程序     来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:256000
    • 提供者:weixin_38742520
  1. 用verilog语言编写的交通灯控制器实现.rar

  2. (1)交通灯控制器的设计要求及其思路.doc //给出了本交通灯的设计指标和详细设计思路,给修改代码的朋友一定的参考; (2)文件夹:traffic //包含详细代码和版图模式,相信能给您带来帮助。
  3. 所属分类:交通

    • 发布日期:2020-11-28
    • 文件大小:498688
    • 提供者:netpbc
  1. EDA/PLD中的基于VHDL的交通灯控制器设计

  2. 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:239616
    • 提供者:weixin_38740827
« 1 23 4 5 6 7 8 9 10 ... 27 »