您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机控制交通灯毕业论文

  2. 本系统就是充分利用了8051和8255芯片的I/O引脚。系统统采用MSC-51系列单片机Intel8051和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8031芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。。系统不足之处不能控制车的左、右转、以及自动根据车流改变红绿灯时间等。
  3. 所属分类:其它

    • 发布日期:2011-05-08
    • 文件大小:264192
    • 提供者:jia0511
  1. 单片机控制交通灯毕业设计

  2. 本系统就是充分利用了8051和8255芯片的I/O引脚。系统统采用MSC-51系列单片机Intel8051和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8031芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。。系统不足之处不能控制车的左、右转、以及自动根据车流改变红绿灯时间等。
  3. 所属分类:其它

    • 发布日期:2011-05-08
    • 文件大小:2097152
    • 提供者:jia0511
  1. 通灯控制器的设计与实现

  2. 交通灯控制器的设计与实现,主要是模拟十字路口的红绿灯,还介绍了交通灯控制器的原理以及电路接线,其中主要用到的芯片有可编程并行通信接口芯片8255A。在设计中所用到的编程语言是汇编语言,延时采用的是软件延时(即通过汇编指令)。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-11
    • 文件大小:382976
    • 提供者:luqq13667923851
  1. 单片机控制交通灯 MSC51 汇编程序

  2. 单片机控制交通灯MSC51 汇编程序本系统就是充分利用了8051和8255芯片的I/O引脚。系统统采用MSC-51系列单片机Intel8051和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8031芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。
  3. 所属分类:嵌入式

    • 发布日期:2011-06-16
    • 文件大小:262144
    • 提供者:cora728
  1. 单片机交通灯课程设计

  2. 设计一个十字路口交通灯控制器。用单片机控制LED灯模拟指示。模拟东西方向的十字路口交通信号控制情况。东西向通行时间为25s,南北向通行时间为30s,缓冲时间为5s。,模拟交通灯管理。在一个交通十字路口有一条主干道(南北方向),一条从干道(东西方向),主干道的通行时间比从干道通行时间长,四个路口安装红,黄,绿,灯各一盏;
  3. 所属分类:C

    • 发布日期:2011-06-21
    • 文件大小:422912
    • 提供者:mutouren1989
  1. EDA交通灯控制系统的设计

  2. 基于EDA技术中交通灯控制器的设计,其中包括程序和流程图
  3. 所属分类:硬件开发

    • 发布日期:2011-06-28
    • 文件大小:311296
    • 提供者:mashujian
  1. EDA课程设计 基于FPGA的交通控制器的设计

  2. 本交通灯控制器适用于公路交叉路口主干道的车流量大于次干道车流的情况,主干道定为绿灯35S,黄灯5S,红灯30S;次干道定为红灯40S,绿灯25S,黄灯5S。同时用数码管显示倒计时显示,另外有一个特殊状态,当特殊状态出现时,两个方向都禁止通行,指示红灯,停止计时。特殊状态解除后,恢复计数并指示时间。
  3. 所属分类:专业指导

    • 发布日期:2011-07-05
    • 文件大小:441344
    • 提供者:xiancc
  1. 单片机交通灯课程设计

  2. 课程设计 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。本系统采用单片机AT89C52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时
  3. 所属分类:嵌入式

    • 发布日期:2011-12-20
    • 文件大小:449536
    • 提供者:zhuoliuzhuoliu
  1. 基于Multisim 10 的十字路口交通灯

  2. 介绍运用Multisim 10 仿真软件,设计一个十字路口交通灯控制器。该控制器实现了对十字路口交通信号灯控 制。利用Multisim 10 这种高效的设计平台,能够方便地设计电路,并用虚拟仪器库进行仿真以及验证电路是否达到设计要 求。与传统的设计方法相比,它具有省时、低成本、高效率的优越性。
  3. 所属分类:专业指导

    • 发布日期:2011-12-26
    • 文件大小:560128
    • 提供者:maxiang0812
  1. 交通灯控制器实验

  2. 是一个用Verilog语言写的交通灯控制系统,有详细的讲解。而且是用Quartus Ⅱ开发的,很是清楚明了啊。
  3. 所属分类:嵌入式

    • 发布日期:2011-12-28
    • 文件大小:48128
    • 提供者:lcx_yj
  1. 交通灯控制器的设计

  2. 本设计采用STC89C52为核心器件来模拟十字路口交通灯状态显示及倒计时显示,系统实用性强、操作简单、扩展性强。系统由时钟电路、复位电路、STC89C52单片机、交通灯状态显示、倒计时显示、蜂鸣器报警电路等组成。
  3. 所属分类:嵌入式

    • 发布日期:2012-03-22
    • 文件大小:214016
    • 提供者:kcbllt
  1. 十字路口交通灯

  2. 51系列单片机控制十字路口交通灯控制器设计 实验指导书
  3. 所属分类:C/C++

    • 发布日期:2012-05-06
    • 文件大小:1012736
    • 提供者:hjh1650
  1. 交通灯 VHDL源代码

  2. 用VHDL语言设计一个由一条主干道和一条支干道的汇合点形成的师资交叉路口的交通灯控制器。1)主、支干道各设一个红、绿、黄灯指示器,LED显示;2)主干道处于常允许通行状态,支干道有车来的时候才允许通行;主干道允许通行时亮绿灯,支干道红灯。支干道允许通行时亮绿灯,主干道亮红灯;3)主、支干道均有车时,两者交替通行,主干道每次放行45秒,支干道每次放行25秒,在每次由亮绿灯变成亮红灯时,都有5秒的黄灯过渡。要求:使用状态机设计。
  3. 所属分类:C

    • 发布日期:2013-05-22
    • 文件大小:872448
    • 提供者:u010366254
  1. 电子电路设计-交通灯控制

  2. 在如今城市中,人口和汽车日益怎张,市区交通也日益拥挤,人们的安全问题也日益重要因此红绿交通信号邓成为交管部门管理交通的重要工具之一。交通信号灯常用于交叉路口,用来控制车流量,提高交叉路口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。交通灯是人们生活中常常见到的一部分。不同的交通灯,变化规律会有很大差别。主干道车流量大,指路车流量少。所以有技巧的设置红绿灯的变化可以有效的方便人们的出行。同时智能化的红绿灯将更加人性化。本文主要介绍了该交通灯控制器的设计思路、原理和方法,仿
  3. 所属分类:硬件开发

    • 发布日期:2013-07-01
    • 文件大小:203776
    • 提供者:u011265989
  1. 交通信号灯控制器代码及说明

  2. 交通信号灯控制器代码及说明,FPGA Verilog语言,课程设计
  3. 所属分类:硬件开发

    • 发布日期:2014-03-18
    • 文件大小:100352
    • 提供者:msrgr
  1. 现代电路设计 交通灯控制器

  2. 现代电路设计,交通灯循环点亮,涉及硬件基础知识和软件编程能力。
  3. 所属分类:软件测试

    • 发布日期:2015-09-11
    • 文件大小:293888
    • 提供者:luoxueqian
  1. 交通灯控制的VHDL程序

  2. 交通灯VHDL程序在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。其中,红灯亮表示该道路禁止通行,黄灯亮表示停车,绿灯亮表示可以通行;倒计时显示器是用来显不允许通行或禁止通行的时间。交通灯控制器就是用于自动控制十字路口的交通灯和计时器,指挥各种车辆和行人安全通行。 2.任务和要求
  3. 所属分类:交通

    • 发布日期:2008-12-08
    • 文件大小:2048
    • 提供者:niyujie88
  1. 基于VHDL语言的交通灯控制器设计

  2. 这是一个详细的交通灯设计 我下载了许多相关资料 觉得这份资料不错
  3. 所属分类:交通

    • 发布日期:2008-12-30
    • 文件大小:280576
    • 提供者:u012822070
  1. 微机原理应用之交通灯控制

  2. 本报告主要介绍了微机原理与接口技术的应用之一——交通灯控制器的设计与实现,主要是模拟十字路口的红绿灯,还介绍了交通灯控制器的原理以及电路接线,其中主要用到的芯片有可编程并行通信接口芯片8255A。在设计中所用到的编程语言是汇编语言,延时采用的是软件延时(即通过汇编指令)。设计所用到的备是由西安唐都科技仪器公司生产的TD-PIT+实验系统一套。在实验室将汇编程序调试通过后即可看到设计的结果。
  3. 所属分类:交通

    • 发布日期:2009-01-03
    • 文件大小:761856
    • 提供者:minghao2005
  1. 数字电路(交通灯报告)

  2. 数字电路课程设计报告书 ——交通灯控制器 便于学习,内容详细!通信工程,计算机等必用的!
  3. 所属分类:交通

    • 发布日期:2009-01-03
    • 文件大小:1048576
    • 提供者:yzl222
« 1 2 3 4 5 6 78 9 10 11 12 ... 27 »