点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 交通灯控制器
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
学习资料之交通红绿灯控制器
本文简要地介绍了交通灯控制器的工作方法和如何实现此工程的。拿来供大家参考
所属分类:
交通
发布日期:2009-06-19
文件大小:167936
提供者:
fengerdexuanlv
交通灯控制器的设计与实现
利用汇编语言设计的交通灯控制器,这是一份微机原理与接口技术的课程设计报告
所属分类:
交通
发布日期:2009-06-29
文件大小:106496
提供者:
xiaodandawei
VHDL交通灯控制器程序
VHDL编写的很全的交通灯控制器程序,可以直接运行
所属分类:
交通
发布日期:2009-07-09
文件大小:6144
提供者:
liu116532
基于VHDL 交通灯控制器的实现代码
交通灯控制器源代码,基于VHDL 实现了左行,右行,直行,涉及到有限状态机的使用 文件夹中包含了代码文件、波形文件等
所属分类:
交通
发布日期:2009-08-01
文件大小:1047552
提供者:
longlytt
设计一个主要街道和次要街道十字路口的交通灯控制器。
设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯仍然亮;最后主要街道绿灯亮,次要街道变红灯,依此顺序循环控制
所属分类:
交通
发布日期:2009-11-15
文件大小:561152
提供者:
sdjzdxzhaoxiaosheng
数字电路课程设计---交通灯控制器
数字电路课程设计---交通灯控制器报告,整套资料,内含局部原理图和整机连接图,含倒记时。红绿灯变换前,黄灯闪5S。
所属分类:
交通
发布日期:2009-11-23
文件大小:79872
提供者:
whq870804vip
交通灯控制系统设计 MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器
近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据
所属分类:
交通
发布日期:2009-12-15
文件大小:271360
提供者:
sllwt123
VHDL交通灯控制器设计
VHDL实现的交通灯控制器 基于状态机实现 代码明晰
所属分类:
交通
发布日期:2009-12-18
文件大小:2048
提供者:
wqq_eeb
交通灯控制器 数字电路课程设计报告
设计内容和要求 1、设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。 2、 在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、 黄灯亮时,要求每秒闪亮一次。 4、 甲、乙车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)
所属分类:
交通
发布日期:2010-01-26
文件大小:152576
提供者:
zhlh_xt
用数字电路实现交通灯控制器
分析了现代城市交通控制与管理问题的现状,结合实验阐述了交通灯控制系统的工作原理,设计出一种简单实用的城市交通灯控制系统的硬件电路设计方案。
所属分类:
交通
发布日期:2010-03-16
文件大小:105472
提供者:
zhiying0626
EDA课程设计-----交通灯控制器的实现
1、控制器按东西、南北两个方向控制两组交通灯(红、绿、黄) 2、两组灯亮的顺序满足交通安全的规则要求. 3、东西向绿灯每次亮30S,接着黄灯亮2S,红灯亮20S;南北向绿灯每次亮28S,接着黄灯亮2S,红灯亮30S. 4、有两组数码管给出灯亮的时间倒计时显示。 5、有清零按键,按下后全部计数清零,松开后恢复交通灯工作。
所属分类:
交通
发布日期:2010-03-29
文件大小:1048576
提供者:
chen1052333209
交通灯控制器的设计课设
交通灯控制器主要是利用了一个计数器芯片的计数,当计数器开始有稳定的秒脉冲输入时就开始计数,秒脉冲是用555多谐振荡器产生的,然后再通过各种门电路的逻辑组合实现最后的交通灯功能。
所属分类:
交通
发布日期:2010-05-08
文件大小:189440
提供者:
kevina622
用单片机和可编程接口芯片8255A设计交通灯控制器(还有设计心得体会哦)
采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器
所属分类:
硬件开发
发布日期:2010-05-29
文件大小:99328
提供者:
AP513
基于VHDL的交通灯控制器设计
用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
所属分类:
交通
发布日期:2010-06-02
文件大小:207872
提供者:
cuiguan1989
基于单片机的交通灯控制器
基于单片机的交通灯控制器,希望对大家有帮助。
所属分类:
硬件开发
发布日期:2010-06-26
文件大小:138240
提供者:
watashihalidesu
交通灯控制器通交通灯控制器
设计要求: 1)设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。 3)黄灯亮时,要求每秒闪亮一次。 4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5)一道有车而另一道无车(实验时用开关 K0 和 K1 控制),交通灯控制系统能立即让
所属分类:
交通
发布日期:2010-07-01
文件大小:118784
提供者:
shacuicui
交通灯控制器的EDA设计
交通灯控制器的设计,使用VHDL语言实现的。可以用CPLD/FPGA实现均可。已验证。
所属分类:
交通
发布日期:2010-11-17
文件大小:146432
提供者:
yongqiang1994
交通灯控制器—正文及目录
采用VerilogHDL硬件描述语言实现交通灯控制器的设计。程序设计思想为:首先由时钟发生电路产生稳定的时钟信号,为下面三个子电路提供同步工作信号。接收到时钟信号的红绿灯信号控制电路开始工作,并将产生的重新计数的输出使能控制信号发送给计数秒数选择电路和倒计时控制电路,同时还会将目前电路产生的状态信号发送给前者。接收到重新计数的信号后计数秒数选择电路就会负责产生计数器所需要的计数值,并将这一数值发送给倒计时控制电路,由它利用发光二极管显示倒计时的状态。当计数器计时完毕,倒计时控制器就会负责产生一
所属分类:
交通
发布日期:2010-12-21
文件大小:858112
提供者:
tangshaoquan
eda交通灯控制器设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
所属分类:
交通
发布日期:2011-03-09
文件大小:111616
提供者:
woyizhizhizhi
EDA中的交通灯控制器JTDKZ的VHDL源程序
交通灯控制器JTDKZ的VHDL源程序 : window._bd_share_config =
所属分类:
其它
发布日期:2021-01-19
文件大小:299008
提供者:
weixin_38693524
«
1
2
3
4
5
6
7
8
9
10
...
27
»