您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机控制交通灯毕业设计

  2. 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据
  3. 所属分类:硬件开发

    • 发布日期:2009-05-12
    • 文件大小:264192
    • 提供者:wanglinhe250
  1. 控制交通灯设计毕业论文.doc

  2. 摘要: 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现
  3. 所属分类:交通

    • 发布日期:2009-05-29
    • 文件大小:271360
    • 提供者:qxstone
  1. 基于FPGA 的交通灯控制器的设计

  2. 这是一个基于FPGA的交通灯控制器的设计,程序整体的思路很清晰,便于学习
  3. 所属分类:硬件开发

    • 发布日期:2011-05-24
    • 文件大小:141312
    • 提供者:zhaoziyunzai
  1. 交通灯控制器的设计与实现

  2. 利用数字集成芯片设计交通灯控制器 新控制器由时钟信号发生器 主控制器 置数 器 计数器 译码驱动电路和数字显示电路等组成,它除了实现基本交通灯功能外,还具有倒计时显示 时间设置功能 相比于采用单片机或 FPGA 实现交通灯设计,新设计方法简单,不需要软件编程和调试 最后经 Proteus 软件仿真表明,新设计方法完全正确
  3. 所属分类:专业指导

    • 发布日期:2011-12-06
    • 文件大小:441344
    • 提供者:a864563352
  1. 十字路口交通灯控制器的设计

  2. 交通灯控制器的功能要求 (1)显示系统提示符“P.”。 (2)按下S2键启动交通灯控制器。主通道首先放行,绿灯亮15秒后,黄灯开始亮2秒,接着黄灯闪烁3次,每次一秒,接着红灯亮,主通道停止放行;次通道开始放行,绿灯亮5秒后,黄灯开始亮2秒,接着黄灯闪烁3次,每次一秒,次通道停止放行;依次循环。
  3. 所属分类:C

    • 发布日期:2011-12-06
    • 文件大小:14336
    • 提供者:gongjing518
  1. 交通灯控制器的设计

  2. 交通灯控制器的设计
  3. 所属分类:专业指导

    • 发布日期:2011-12-08
    • 文件大小:280576
    • 提供者:wang19890329
  1. 交通灯控制器.ms10

  2. 交通灯控制器设计,用纯数电知识。关于主从干道的交通灯闪烁
  3. 所属分类:软件测试

    • 发布日期:2011-12-11
    • 文件大小:206848
    • 提供者:jocelynliuwei
  1. 交通灯控制器设计 vhdl语言

  2. 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯。变化规律为:东西绿灯亮,南北红灯亮→东西黄灯亮,南北红灯亮→东西红灯亮,南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯亮……,这样依次循环。
  3. 所属分类:其它

    • 发布日期:2012-03-09
    • 文件大小:53248
    • 提供者:pccyq1824
  1. EDA课程设计_十字路口交通灯控制器

  2. EDA课程设计_十字路口交通灯控制器
  3. 所属分类:专业指导

    • 发布日期:2012-10-17
    • 文件大小:501760
    • 提供者:uangfeineng53
  1. 交通灯控制器电路图仿真

  2. 设计任务: 设计一个交通灯控制器,掌握倒计时计数器、译码器等的工作原理和设计方法。 要 求:     1.设计一个十字路口的的交通灯系统,要求甲车道和乙车道两条交叉道路口的车辆交替运行,每次通过时间都设为25秒。 2.要求黄灯先闪烁5秒钟,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次; 4.用一组数码管,实现正计数显示时间。
  3. 所属分类:交通

    • 发布日期:2013-01-02
    • 文件大小:355328
    • 提供者:wallwo
  1. 基于FPGA的交通灯控制器设计

  2. 本实验设计一个十字路口的交通灯控制器,分为东西和南北两个部分。每个部分有五盏灯,分别为左转灯、直行灯、右转灯、人行道灯及黄灯,另外还有一个倒计时器。左转灯、直行灯、右转灯、人行道灯亮表示允许通行,灯灭表示禁止通行;黄灯亮表示即将有信号灯的状态发生改变;倒计时显示了到下一状态的时间。 2.状态表(0表示灯灭,1表示灯亮) 时间度量 东西方向  南北方向 东西方向 南北方向 t/s ← ↑ → 行人 黄 ← ↑ → 行人 黄 倒计时/s 倒计时/s 0~13 0 1 1 0 0 0 0 0 0 0
  3. 所属分类:其它

    • 发布日期:2013-07-02
    • 文件大小:559104
    • 提供者:minorduan_1232
  1. 用verilog语言编写的交通灯控制器实现.rar

  2. (1)交通灯控制器的设计要求及其思路.doc //给出了本交通灯的设计指标和详细设计思路,给修改代码的朋友一定的参考; (2)文件夹:traffic //包含详细代码和版图模式,相信能给您带来帮助。
  3. 所属分类:交通

    • 发布日期:2008-10-13
    • 文件大小:507904
    • 提供者:elia2008
  1. 交通灯控制器

  2. 交通灯控制器的设计要求如下: 1)设计一个交通灯控制器,模拟路口的红黄绿交通灯的变化过程。 2)要求红灯持续时间为30秒,黄灯5秒,绿灯30秒。用3个LED灯代表红、黄、绿 交通灯,并在数码管上显示当前剩余时间。 3)要求有复位功能,初始状态为红灯。
  3. 所属分类:嵌入式

    • 发布日期:2014-05-23
    • 文件大小:34816
    • 提供者:acd_09154
  1. FPGA交通灯控制器

  2. 交通灯控制器的Verilog HDL源代码
  3. 所属分类:嵌入式

    • 发布日期:2016-07-22
    • 文件大小:381952
    • 提供者:u013329911
  1. 数字电路课程设计报告书——交通灯控制器

  2. 数字电路课程设计报告书——交通灯控制器 用161实现 带有计数功能的数码管 每个路口7个时间状态
  3. 所属分类:交通

    • 发布日期:2009-01-02
    • 文件大小:182272
    • 提供者:meimeida
  1. 基于单片机的交通灯控制器

  2. 基于单片机的交通灯控制器的设计,希望对广大的需要单片机设计的同学会有点用。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-12
    • 文件大小:402432
    • 提供者:lidonglin0816
  1. 交通灯控制器的VHDL实现-1

  2. 随着交通情况的日益复杂,交通灯在生活中所处的位置也越来越高。本实验就是基于VHDL语言编程实现了十字路口的交通灯控制器。对于交通等控制器的设计是分模块自顶向下的设计思想,软硬件结合来实现本设计。
  3. 所属分类:C

    • 发布日期:2018-01-29
    • 文件大小:34816
    • 提供者:nitian428
  1. 基于FPGA的交通灯课程设计资料.zip

  2. 基于FPGA的交通灯课程设计资料,包括程序源码及论文资料,以FPGA为核心,设计一个交通灯控制器,每个路口都有红、黄、绿三盏灯。该交通信号灯控制器由一条车道A和一条车道B汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。
  3. 所属分类:其它

    • 发布日期:2020-04-12
    • 文件大小:6291456
    • 提供者:weixin_40445526
  1. 十字路口交通灯控制器的设计电路图

  2. 在主、支道路的十字路口分别设置三色灯控制器,红灯亮禁止通行,绿灯亮允许通行,黄灯亮要求压线车辆快速穿越。根据车流状况不同,可调整三色灯点亮或关闭时间。 (1)基本部分: ①可用LED模拟交通灯; ②主道路绿、黄、红灯亮的时间分别为60秒、5秒、25秒; 次道路绿、黄、红灯亮的时间分别为20秒、5秒、65秒; ③主、次道路时间指示采用倒计时制,用2位数码管显示。 (2)扩展部分 ①主、次道路绿、黄、红灯亮的时间可以预置; ②主、次道路绿、黄、红灯亮的时间可以分别调整。
  3. 所属分类:交通

    • 发布日期:2010-11-09
    • 文件大小:495616
    • 提供者:jinshaoyao
  1. 交通灯控制器原理

  2. 本实例通过Verilog HDL语言设计一个简易的交通等控制器,实现一个具有两个方向、共8个灯并具有时间倒计时功能的交通灯功能。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:37888
    • 提供者:weixin_38576811
« 1 2 3 45 6 7 8 9 10 ... 27 »