您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 三人表决器设计原理图及程序

  2. 基于单片机的三人表决器设计原理图,附带有源程序
  3. 所属分类:硬件开发

    • 发布日期:2009-05-13
    • 文件大小:15360
    • 提供者:gushuai1208
  1. 七人表决器 VHDL程序

  2. VHDL程序 EDA 七人表决器 七人表决器VHDL程序 EDA
  3. 所属分类:其它

    • 发布日期:2009-05-24
    • 文件大小:73728
    • 提供者:ffgy5731
  1. 课程设计表决器数字电路设计

  2. 随着社会的飞速发展,科学技术的应用已经渗入到社会的各个领域。目前,各领域的激烈竞争迫使人们不得不对办事效率格外重视,同时,这也是方便、高效的社会发展趋势之必需。会议表决方面亦是如此。表决器所具有的功能使它成为这方面当之无愧的选择。本设计正是关于多数表决器的设计,用于各种场合的投票选举。
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:190464
    • 提供者:ruanhuibao
  1. 三人表决器vhdl程序设计报告

  2. 三人表决器 vhdl程序 quartus仿真
  3. 所属分类:嵌入式

    • 发布日期:2009-09-16
    • 文件大小:159744
    • 提供者:kimitace
  1. 学会VHDL电子设计流程1 1人表决器设计

  2. 一、实训目的 1、学会VHDL电子设计流程 2、学会用行为描述方式来设计电路 二、实训原理 用11个开关作为表决器的11个输入变量,输入变量为逻辑‘1’时表示表决者“赞同”;输入变量为‘0’时表示表决者“不赞同”。输出逻辑为‘1’时,表示表决“通过”;输出为‘0’时表示表决“不通过”。当表决器的11个输入变量中有6个及6个以上为‘1’时,则表决器输出为‘1’;否则为‘0’。
  3. 所属分类:专业指导

    • 发布日期:2009-11-03
    • 文件大小:25600
    • 提供者:zhangyuegen
  1. EDA实验报告(五人表决器)

  2. 用ISPLEVER来实现五人表决器的设计 实验用ABEL语言编写
  3. 所属分类:专业指导

    • 发布日期:2009-12-30
    • 文件大小:101376
    • 提供者:NIURETON
  1. 五人表决器(ISPLEVER)

  2. 五人表决器在ISPLEVER上的实现,用ABEL语言实现,附有相信的报告格式以及仿真波形
  3. 所属分类:嵌入式

    • 发布日期:2009-12-30
    • 文件大小:99328
    • 提供者:NIURETON
  1. EDA实现三人表决器及加法器

  2. 通过EDA实现三人表决器及加法器,编译通过,供大家参考。
  3. 所属分类:其它

    • 发布日期:2010-01-23
    • 文件大小:7168
    • 提供者:zjp649527
  1. EDA的2人表决器程序

  2. EDA2人表决器EDA2人表决器EDA2人表决器EDA2人表决器EDA2人表决器EDA2人表决器
  3. 所属分类:其它

    • 发布日期:2010-05-04
    • 文件大小:279
    • 提供者:j5155292
  1. EDA 七人表决器和计数器的设计原理图和所得到的波形图

  2. EDA作业 设计七人表决器 计数器 计数器是用4位加法器和74374设计的,七人表决器一位加法器实现
  3. 所属分类:专业指导

  1. 七人表决器设计设计报告

  2. 七人表决器设计设计报告,希望大家能够支持,对大家有些帮助
  3. 所属分类:专业指导

    • 发布日期:2010-11-02
    • 文件大小:38912
    • 提供者:zperfecter
  1. 八人表决器设计方案C51程序

  2. 一个八人表决器的设计方案 八人表决器设计方案C51程序
  3. 所属分类:C

    • 发布日期:2010-11-21
    • 文件大小:33792
    • 提供者:lover_mei
  1. 七人表决器设计利用VHDL程序编写

  2. 七人表决器设计利用vhdl程序编写,理由电路图以及工程图
  3. 所属分类:专业指导

    • 发布日期:2010-12-09
    • 文件大小:926720
    • 提供者:a851210
  1. 数字电路报告 用与非门实现多数表决器

  2. 多数表决器 :用与非门实现 三个人的多数表决器
  3. 所属分类:专业指导

    • 发布日期:2011-01-04
    • 文件大小:183296
    • 提供者:ld8315699
  1. VHDL实验2 _五人表决器

  2. VHDL实验2 五人表决器 里面有详细的实验准备、实验内容步骤、实验程序分析、实验结果等.
  3. 所属分类:硬件开发

    • 发布日期:2011-02-27
    • 文件大小:2097152
    • 提供者:liwenxuan001
  1. 7人表决器的vhdl 语言描述

  2. 请注意啦 这是7人表决器的语言描述 慢慢看,体会
  3. 所属分类:数据库

    • 发布日期:2011-04-19
    • 文件大小:871
    • 提供者:syzcg
  1. c# 简单表决器

  2. 简单的c#表决器,是关于城市间选择代表的城市。
  3. 所属分类:.Net

    • 发布日期:2011-09-07
    • 文件大小:40960
    • 提供者:xuan2009sd
  1. 四输入表决器

  2. 数字电子技术的课程设计,四输入表决器的仿真电路!
  3. 所属分类:专业指导

    • 发布日期:2011-10-23
    • 文件大小:78848
    • 提供者:daileideshi
  1. 七人表决器设计设计报告

  2. 基于FPGA的七人表决器设计设计报告 主要是设计的源程序 比较实用 经过本人的实际操作设计的
  3. 所属分类:专业指导

    • 发布日期:2011-10-29
    • 文件大小:38912
    • 提供者:pq544109726
  1. VHDL程序设计(2)七人表决器

  2. EDA课程VHDL程序设计实例:七人表决器。通过七个开关的高电平数量控制灯的亮灭
  3. 所属分类:专业指导

    • 发布日期:2011-12-07
    • 文件大小:423
    • 提供者:wutongye1216
« 12 3 4 5 6 7 8 »