您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. ISE与第三方软件Synplify Pro ModelSim

  2. 在FPGA设计中,许多设计人员都习惯于使用综合工具Synplify Pro。虽然ISE软件可以不依赖于任何第三方EDA软件完成整个设计,但Synplify Pro软件有综合性能高以及综合速度快等特点,无论在物理面积上还是工作频率都能达到较理想的效果。因此如何在ISE中调用Synplify Pro综合工具,并进行无缝的设计连接仍然是设计人员需要解决的一个设计流程问题。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-21
    • 文件大小:535552
    • 提供者:mhf_0811
  1. synplify 9.6.2 crack

  2. crack for synplify 9.6.2
  3. 所属分类:Java

    • 发布日期:2010-04-28
    • 文件大小:13312
    • 提供者:yanghongbin818
  1. synplify,ISE,ModelSim后仿真流程

  2. synplify,ISE,ModelSim后仿真流程;synplify,ISE,ModelSim后仿真流程
  3. 所属分类:嵌入式

    • 发布日期:2010-05-01
    • 文件大小:1015808
    • 提供者:jzd19851102
  1. 华为Synplify工具使用指南.pdf

  2. 华为Synplify工具使用指南.pdf 华为Synplify工具使用指南.pdf
  3. 所属分类:其它

    • 发布日期:2010-07-04
    • 文件大小:870400
    • 提供者:liuluzhe
  1. 华为Synplify快速入门.pdf

  2. 华为Synplify快速入门.pdf 华为Synplify快速入门.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-07-04
    • 文件大小:122880
    • 提供者:liuluzhe
  1. synplify+pro经典教程

  2. synplify+pro教程,学习fpga综合不可多得的资料
  3. 所属分类:硬件开发

    • 发布日期:2010-08-09
    • 文件大小:1048576
    • 提供者:dtqhu
  1. Synplify Synplify pro pro综合 综合

  2. Synplify Synplify pro pro综合 综合简单易用适合初学者
  3. 所属分类:专业指导

    • 发布日期:2010-08-28
    • 文件大小:1048576
    • 提供者:liuyongfu
  1. Synplify工具使用指南.pdf

  2. Synplify 和 Synplify Pro 是 Synplicity 公司提供的专门针对FPGA和CPLD实现的逻辑综合工 具它支持VHDL93 IEEE1076 , 包括std_logic_1164 Numeric_std std_logic_Usigned.......
  3. 所属分类:硬件开发

    • 发布日期:2010-10-09
    • 文件大小:870400
    • 提供者:whyyxn_summer
  1. Synplify.Pro.v8.1crack_lidc

  2. Synplify.Pro.v8.1crack_lidc,需要的可以下载
  3. 所属分类:专业指导

    • 发布日期:2010-10-27
    • 文件大小:8388608
    • 提供者:earsfalas
  1. Synplify英文教程

  2. Synplify英文教程,讲的很细致,很全面
  3. 所属分类:专业指导

    • 发布日期:2010-11-08
    • 文件大小:2097152
    • 提供者:huangxing518
  1. 华为公司的Synplify工具使用指南

  2. 华为公司的Synplify工具使用指南,很不错的资料
  3. 所属分类:专业指导

    • 发布日期:2010-11-08
    • 文件大小:870400
    • 提供者:huangxing518
  1. synplify,ISE,ModelSim后仿真流程

  2. synplify,ISE,ModelSim后仿真流程,synplify,ISE,ModelSim后仿真流程
  3. 所属分类:嵌入式

    • 发布日期:2010-11-14
    • 文件大小:1015808
    • 提供者:lygg093
  1. Synplify. 9.6.2_crack.rar

  2. synplify 9.6.2的破解文件。。。
  3. 所属分类:Java

    • 发布日期:2010-11-15
    • 文件大小:13312
    • 提供者:huangxing518
  1. synplify华为中文教程

  2. 该文件包括Synplify工具使用指南、Synplify快速指南、如何综合安全的状态机三个文件,对Synplify的学习有很大帮助,属于华为内部资料
  3. 所属分类:硬件开发

    • 发布日期:2010-12-05
    • 文件大小:648192
    • 提供者:zhhzhhj
  1. Synplify快速入门

  2. PLD中的综合软件Synplify的快速入门,希望对大家有用。 共享无价。
  3. 所属分类:专业指导

  1. Synplify工具使用指南

  2. Synplify工具使用指南,比较详细的,希望对大家有用。 共享无价。
  3. 所属分类:其它

  1. synplify user guide

  2. synplify pro for lattice user guide in english.
  3. 所属分类:其它

    • 发布日期:2010-12-22
    • 文件大小:3145728
    • 提供者:zhouseph
  1. 基于Synplify Pro的FPGA高级综合设计

  2. 基于Synplify Pro的FPGA高级综合设计
  3. 所属分类:硬件开发

    • 发布日期:2011-02-16
    • 文件大小:322560
    • 提供者:lzc520xm
  1. synplify pro经典教程

  2. synplify pro 的经典教程,西安交大SOC设计中心:沈云红
  3. 所属分类:硬件开发

  1. synplify pro 使用指南

  2. Synplify 和 Synplify Pro 是 Synplicity 公司提供的专门针对FPGA和CPLD实现的逻辑综合工 具
  3. 所属分类:软件测试

    • 发布日期:2011-03-17
    • 文件大小:2097152
    • 提供者:zhlhitky
« 1 23 4 5 6 7 8 9 10 »