您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. SynplifyPro 针对复杂可编程逻辑设计的功能强大的 FPGA 综合工具

  2. SynplifyPro 针对复杂可编程逻辑设计的功能强大的 FPGA 综合工具 Synplify Pro 是 Synplicity 公司的FPGA 综合软件
  3. 所属分类:硬件开发

    • 发布日期:2009-06-04
    • 文件大小:292864
    • 提供者:guoqqii2008
  1. Synplify工具使用指南

  2. 本文包括三个主要内容,第一部分快速入门,介绍基本的工作流程。第二部分TCL使用指南。第三部分是一些通用的以及专门针对Altera和Xilinx器件的综合策略。
  3. 所属分类:专业指导

    • 发布日期:2009-06-11
    • 文件大小:870400
    • 提供者:zxk860611
  1. synplify pro经典教程

  2. 西安交大SOC中心沈云红老师的课件,希望对大家有帮助
  3. 所属分类:专业指导

    • 发布日期:2009-06-13
    • 文件大小:1048576
    • 提供者:kuxingsengnoi
  1. FPGA设计全流程:Modelsim>>Synplify.Pro>>ISE

  2. 第一章 Modelsim编译Xilinx库 第二章 调用Xilinx CORE-Generator 第三章 使用Synplify.Pro综合HDL和内核 第四章 综合后的项目执行 第五章 不同类型结构的仿真 本书位.PDF格式
  3. 所属分类:硬件开发

    • 发布日期:2009-07-02
    • 文件大小:241664
    • 提供者:jiuweidemoqi
  1. Synplify工具使用指南

  2. 某公司内部中文版本的syplify的文档,比较老了。但是比较实用。
  3. 所属分类:专业指导

    • 发布日期:2009-09-01
    • 文件大小:870400
    • 提供者:tkfly0324
  1. FPGA设计全流程:Modelsim>>Synplify.Pro>>ISE

  2. FPGA设计全流程:Modelsim>>Synplify.Pro>>ISE 第一章:Modelsim编译Xilinx库 第二章:调用Xilinx CORE-Generator 第三章:使用Synplify.Pro综合HDL和内核 第四章:综合后的项目执行 第五章:不同类型结构的仿真
  3. 所属分类:硬件开发

    • 发布日期:2009-11-02
    • 文件大小:241664
    • 提供者:missjang
  1. modelsim 后仿真 V2 最新版

  2. 基于 1, ModelSim Se 6.5 2, Synplify Pro 9.4.2 3, ISE 10.1.03 后仿真的说明文档。 里面还有和debussy结合时产生FSDB文件,需要配置的环境变量,就可以和debussy联合调试
  3. 所属分类:嵌入式

    • 发布日期:2009-11-09
    • 文件大小:192512
    • 提供者:heavensword
  1. Synplify Pro V8.1 Crack

  2. 1. install syniplfy 8.1 then Identify 2.11. 2. copy License.txt to \Synplicity 我没有修改license里的hostid就直接使用了,目前还没有出现问题。 3. unrar syn81_crk.rar to \Synplicity\fpga_81\bin\mbin(overwrite). 4. For identify 2.11, unrar Identify211_crk.rar to \Synplicity\Id
  3. 所属分类:硬件开发

    • 发布日期:2010-03-20
    • 文件大小:8388608
    • 提供者:hao3361
  1. synplify pro_西安交大

  2. 强大的综合工具,fpga设计必用。。。。。。。。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-28
    • 文件大小:1048576
    • 提供者:oranges09
  1. Modelsim、Synplify.Pro、ISE 设计全流程.pdf

  2. FPGA设计必备,帮助你技术更加全面!!
  3. 所属分类:硬件开发

    • 发布日期:2010-07-01
    • 文件大小:1048576
    • 提供者:weeren
  1. Synplify工具使用指南

  2. 为使用SYnplify提供帮助,相传为某公司的内部参考文档
  3. 所属分类:硬件开发

    • 发布日期:2010-07-08
    • 文件大小:122880
    • 提供者:luckmdw
  1. 华为关于fpga的全套资料(里面包含16个文件)

  2. 1.Synplify工具使用指南  2.华为小数分频  3.coding style  4.FPGA技巧Xilinx  5.华为Verilog约束 6.VerilogHDL华为入门教程 7.Verilog典型电路设计 8.VHDL设计风格和实现 9.华为_大规模逻辑设计指导书 10.华为FPGA设计规范 11.华为FPGA设计流程指南 12.华为面经 13.华为同步电路设计规范 14.静态时序分析与逻辑 15.是中投传技术白皮书 16.硬件工程师手册
  3. 所属分类:硬件开发

    • 发布日期:2010-07-25
    • 文件大小:7340032
    • 提供者:haoqiangqiang
  1. synplify pro 教程

  2. 这个东西不用说,大家都知道,很好的综合工具, 也要配合正版使用的哈
  3. 所属分类:专业指导

    • 发布日期:2011-02-07
    • 文件大小:1048576
    • 提供者:backtoworld
  1. Synplify Pro 9.6.2.part1

  2. VHDL, Verilog_HDL语言综合工具
  3. 所属分类:嵌入式

    • 发布日期:2012-05-18
    • 文件大小:59768832
    • 提供者:hustrong70
  1. synplify使用指导

  2. synplify_FPGA_user_guide,synplify_pro经典教程,Synplifypro_QuartusII_Ver5_v4_1,Synplify工具使用指南,synplify华为中文教程,Synplify快速入门
  3. 所属分类:硬件开发

    • 发布日期:2018-01-02
    • 文件大小:9437184
    • 提供者:baidu_25584255
  1. Synplify Pro Fpga 经典综合教程

  2. Synplify Pro半导体设计及验证软件供应商Synplicity公司可编程逻辑器件(PLD)综合软件。Synplify Pro软件支持Verilog-2001标准以及新器件及新操作系统(OS)。最新版本的Synplify Pro软件提高了若乾项QoR(最终结果质量),以及增效定时引擎及自动寄存器重新定时功能的增强,能够提高设计人员的产出率,并且性能更佳。
  3. 所属分类:硬件开发

    • 发布日期:2009-01-08
    • 文件大小:951296
    • 提供者:songyang1321923
  1. Synplify工具使用指南 华为出品

  2. Synplify工具使用指南 华为出品,不可多得
  3. 所属分类:其它

    • 发布日期:2009-01-20
    • 文件大小:530432
    • 提供者:wells_zhu
  1. synplify pro使用指南

  2. synplify pro是目前一款功能十分强大的综合工具,synplify pro使用指南对于初学者来说大有裨益。
  3. 所属分类:专业指导

    • 发布日期:2009-03-16
    • 文件大小:1048576
    • 提供者:feiyangxiaobao
  1. synplify pro经典教程

  2. synplify pro经典教程synplify pro经典教程
  3. 所属分类:专业指导

    • 发布日期:2009-04-08
    • 文件大小:1048576
    • 提供者:poxiao8923
  1. synplify实用教程

  2. synplify实用教程
  3. 所属分类:硬件开发

    • 发布日期:2017-05-03
    • 文件大小:387072
    • 提供者:liucang
« 1 2 3 4 56 7 8 9 10 11 12 »