您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. synplify V9.61 crack

  2. synplify V9.61 carck , please reference the document. (when install synplify select floating license)
  3. 所属分类:专业指导

    • 发布日期:2011-04-21
    • 文件大小:12288
    • 提供者:jsc1968
  1. Synplify教程

  2. Synplify教程 Synplify教程 Synplify教程
  3. 所属分类:嵌入式

    • 发布日期:2011-04-29
    • 文件大小:1048576
    • 提供者:liuzhe_buaa
  1. ModelSim,synplify,ISE后仿真流程

  2. ModelSim,synplify,ISE后仿真流程
  3. 所属分类:嵌入式

    • 发布日期:2011-06-29
    • 文件大小:220160
    • 提供者:caosen1shi1
  1. Synplify工具使用指南.pdf

  2. Synplify工具使用指南.pdf 介绍软件使用要领
  3. 所属分类:硬件开发

    • 发布日期:2011-07-20
    • 文件大小:870400
    • 提供者:uapicao
  1. Synplify快速入门

  2. Synplify快速入门,Synplify快速入门Synplify快速入门Synplify快速入门
  3. 所属分类:硬件开发

    • 发布日期:2011-08-10
    • 文件大小:122880
    • 提供者:wzs204030
  1. Synplify工具使用指南

  2. Synplify工具使用指南Synplify工具使用指南Synplify工具使用指南
  3. 所属分类:硬件开发

    • 发布日期:2011-08-10
    • 文件大小:870400
    • 提供者:wzs204030
  1. Synplify教程

  2. Synplify教程 非常好的使用说明 Synplify、Synplify Pro和Synplify Premier是Synplicity(Synopsys公司于2008年收购了Synplicity公司)公司提供的专门针对FPGA和CPLD实现的逻辑综合工具,Synplicity的工具涵盖了可编程逻辑器件(FPGAs、PLDs和CPLDs)的综合,验证,调试,物理综合及原型验证等领域。
  3. 所属分类:硬件开发

    • 发布日期:2011-08-16
    • 文件大小:1048576
    • 提供者:czds66
  1. Synopsis Synplify 2011.03 WIN SP2

  2. Synopsis Synplify 2011.03 Win SP2 Total 39 packages.
  3. 所属分类:电信

    • 发布日期:2011-09-06
    • 文件大小:15728640
    • 提供者:kzhang70
  1. Synopsis Synplify 2011.03 SP2 - 02

  2. Synopsis Synplify 2011.03 SP2 - 02
  3. 所属分类:电信

    • 发布日期:2011-09-06
    • 文件大小:15728640
    • 提供者:kzhang70
  1. 华为synplify中文教程

  2. 华为synplify中文教程,为华为内部使用教程,实用性高,非常优秀。
  3. 所属分类:硬件开发

    • 发布日期:2011-11-04
    • 文件大小:647168
    • 提供者:uwyciw
  1. Synopsys Synplify FPGA 2011.03 SP2 Win3

  2. Synopsys Synplify FPGA 2011.03 SP2 Win disk3
  3. 所属分类:其它

    • 发布日期:2011-11-17
    • 文件大小:59768832
    • 提供者:liang188
  1. Synopsys Synplify FPGA 2011.03 SP2 Win7

  2. Synopsys Synplify FPGA 2011.03 SP2 Win disk7
  3. 所属分类:其它

    • 发布日期:2011-11-17
    • 文件大小:59768832
    • 提供者:liang188
  1. SYNPLIFY工具使用

  2. synplify使用帮助说明,帮助FPGA开发人员快速学会synplify的使用,缩短研发周期,提高工作效率!
  3. 所属分类:教育

    • 发布日期:2011-11-19
    • 文件大小:870400
    • 提供者:eyanjie
  1. Synplify工具使用指南

  2. 此指南针对Synplify,Synplify工具使用指南
  3. 所属分类:硬件开发

    • 发布日期:2011-12-06
    • 文件大小:870400
    • 提供者:tilden
  1. 给您聊聊XST 和Synplify Pro 综合结果的区别

  2. 聊聊XST 和Synplify Pro 综合结果的区别
  3. 所属分类:硬件开发

    • 发布日期:2012-01-17
    • 文件大小:113664
    • 提供者:nongfuxu
  1. synplifcity synplify FAE内部培训资料

  2. synplifcity synplify FAE 内部培训资料 苦B版
  3. 所属分类:其它

    • 发布日期:2012-03-01
    • 文件大小:8388608
    • 提供者:heavensword
  1. Synplify使用指南(内部资料)

  2. Synplify使用指南,华为内部资料,非常实用,去掉了不常用的部分,剩下常用的部分
  3. 所属分类:嵌入式

    • 发布日期:2012-03-19
    • 文件大小:870400
    • 提供者:systemfly
  1. synplify 教程

  2. 极好的synplify 教程. synplify pro 经典教程 综合工具 基于synplify pro的FPGA高级综合设计
  3. 所属分类:嵌入式

    • 发布日期:2012-03-20
    • 文件大小:1048576
    • 提供者:honeybone
  1. synplify pro 9.6.2 CRK 你们懂的

  2. synplify pro 9.6.2 河蟹利器
  3. 所属分类:硬件开发

    • 发布日期:2012-03-28
    • 文件大小:13312
    • 提供者:gj253639447
  1. 基于Synplify的FPGA高级综合设计

  2. 基于Synplify的FPGA高级综合设计,讲解开发流程和相关需要注意的事项。
  3. 所属分类:硬件开发

    • 发布日期:2012-03-30
    • 文件大小:273408
    • 提供者:wangyanghero6
« 1 2 34 5 6 7 8 9 10 »