您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 交通灯控制器的VHDL设计

  2. 有关于交通灯控制器的VHDL设计,可以作为论文的参考资料
  3. 所属分类:交通

    • 发布日期:2009-05-23
    • 文件大小:527360
    • 提供者:xinflying
  1. 数电设计 交通灯控制器

  2. 数电设计 交通灯 控制器
  3. 所属分类:交通

    • 发布日期:2009-06-16
    • 文件大小:182272
    • 提供者:shudiansheji
  1. weiming交通灯控制器

  2. EDA课程设计,是一个关于交通灯控制器的
  3. 所属分类:交通

    • 发布日期:2009-07-13
    • 文件大小:26624
    • 提供者:weiming958713
  1. 交通灯控制器。。。。。。。。。。。设计

  2. 1.用数字电路实现下面功能: 图1.1 交通灯控制器控制流程图 2.显示剩余时间; 3.增加拐弯时序; 4.增加自动夜间开关功能,黄灯亮; 5. 增加手动功能,方便盲人通过。
  3. 所属分类:交通

    • 发布日期:2009-09-30
    • 文件大小:384000
    • 提供者:wan6418200111
  1. eda设计的交通灯控制器

  2. eda交通灯控制器 题目三:交通灯控制器 题目三:交通灯控制器 题目三:交通灯控制器 题目三:交通灯控制器
  3. 所属分类:交通

    • 发布日期:2009-10-08
    • 文件大小:73728
    • 提供者:yuhai9269
  1. 毕业设计 交通灯控制器 单片机

  2. 毕业设计 单片机交通灯控制器 带倒计时,紧急处理换向
  3. 所属分类:硬件开发

    • 发布日期:2009-10-20
    • 文件大小:48128
    • 提供者:whq870804vip
  1. EDA交通灯控制器整个程序

  2. 实训做的EDA交通灯控制器整个程序,希望对你有帮助
  3. 所属分类:交通

    • 发布日期:2010-01-03
    • 文件大小:1048576
    • 提供者:qiqijin
  1. 交通灯控制器交通灯控制器

  2. 交通灯控制器 设计要求: 1)设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。 3)黄灯亮时,要求每秒闪亮一次。 4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5)一道有车而另一道无车(实验时用开关 K0 和 K1 控制),交通灯控
  3. 所属分类:交通

    • 发布日期:2010-07-01
    • 文件大小:16384
    • 提供者:shacuicui
  1. 交通灯控制器通灯控制器交通灯控制器

  2. 交通灯控制器 设计要求: 1)设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2)在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。 3)黄灯亮时,要求每秒闪亮一次。 4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5)一道有车而另一道无车(实验时用开关 K0 和 K1 控制),交通灯控
  3. 所属分类:交通

    • 发布日期:2010-07-01
    • 文件大小:119808
    • 提供者:shacuicui
  1. 数字电路-交通灯控制器,超详细的

  2. 数字电路-交通灯控制器,超详细的,包括程序,仿真,硬件配置,整体结构图,程序流程图,图文并茂
  3. 所属分类:交通

    • 发布日期:2010-07-17
    • 文件大小:2097152
    • 提供者:tiandi45453
  1. 课程设计_交通灯控制器.doc

  2. 数字电路课程设计的报告,交通灯控制器,附带multisim的仿真图……
  3. 所属分类:交通

    • 发布日期:2011-02-13
    • 文件大小:356352
    • 提供者:hisun1218
  1. 交通灯控制器的EWB文件 电路图 课程设计

  2. 这是交通灯控制器的ewb电路图 是用于数电课程设计的 希望能给有需要的朋友带来方便 仅供参考
  3. 所属分类:专业指导

    • 发布日期:2011-06-20
    • 文件大小:66560
    • 提供者:Blugre_Chan123
  1. ASIC 随机数发生器 乘法器 串并转换 交通灯控制器

  2. ASIC 随机数发生器 乘法器 串并转换 交通灯控制器
  3. 所属分类:硬件开发

    • 发布日期:2011-06-20
    • 文件大小:637952
    • 提供者:a6930559
  1. 交通灯控制器(mc51)

  2. 用MC51设计的一个交通灯控制器,源代码用c语言实现 ;实现的功能有红、绿、黄灯时间的显示,南北通行、东西通行、全部禁行等功能
  3. 所属分类:嵌入式

    • 发布日期:2011-09-01
    • 文件大小:23552
    • 提供者:cf164202026
  1. FPGA应用开发入门与典型实例\典型实例5_2 交通灯控制器.rar )

  2. FPGA应用开发入门与典型实例\典型实例5_2 交通灯控制器.rar )
  3. 所属分类:其它

    • 发布日期:2011-09-11
    • 文件大小:48128
    • 提供者:wjw19891012
  1. VHDl数字交通灯控制器的设计与实现

  2. VHDl数字交通灯控制器的设计与实现VHDl数字交通灯控制器的设计与实现VHDl数字交通灯控制器的设计与实现
  3. 所属分类:其它

    • 发布日期:2011-11-28
    • 文件大小:717824
    • 提供者:yuanlu463710933
  1. 89C51单片机实现交通灯控制器

  2. 89C51单片机 交通灯控制器 解压,里边包含电路图,代码还有部分文档。
  3. 所属分类:C

    • 发布日期:2011-12-15
    • 文件大小:106496
    • 提供者:zybasjj
  1. 交通灯控制器电路图

  2. 交通灯控制器使用51单片机所实现的电路图,配合C语言代码实现控制,具体见我所上传的C语言代码
  3. 所属分类:硬件开发

    • 发布日期:2011-12-15
    • 文件大小:128000
    • 提供者:zybasjj
  1. 交通灯控制器设计 EDA

  2. 基于QuartusII上交通灯控制器设计 ,硬件描述语言
  3. 所属分类:嵌入式

    • 发布日期:2012-03-06
    • 文件大小:119808
    • 提供者:yangmin33333
  1. 交通灯控制器的设计与实现.doc

  2. 交通灯控制器的设计与实现.doc交通灯控制器的设计与实现.doc
  3. 所属分类:Android

    • 发布日期:2012-06-16
    • 文件大小:403456
    • 提供者:q123456789098
« 12 3 4 5 6 7 8 9 10 ... 27 »