您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字电路课程设计 拔河游戏机 数字钟 彩灯 交通灯

  2. 数字电路课程设计 拔河游戏机 数字钟 彩灯 交通灯........
  3. 所属分类:交通

    • 发布日期:2009-05-05
    • 文件大小:3145728
    • 提供者:nxiaoaojianghu
  1. small_rtos 数字钟

  2. 基于small_rtos的数字钟,希望对大家有帮助~~
  3. 所属分类:专业指导

    • 发布日期:2009-05-09
    • 文件大小:77824
    • 提供者:highwest
  1. 多功能数字钟设计 基于单片机

  2. 对多功能数字钟的时间 温度 闹铃的控制,AT89S52
  3. 所属分类:硬件开发

    • 发布日期:2009-05-09
    • 文件大小:37888
    • 提供者:sherrylily926
  1. 数字钟 ewb 电子电路

  2. 用ewb设计的数字钟 数字钟 ewb 电子电路
  3. 所属分类:专业指导

    • 发布日期:2009-05-12
    • 文件大小:206848
    • 提供者:jun413947139
  1. EDA数字钟设计(报告+ppt)

  2. EDA数字钟设计,有报告和ppt,程序是没有问题的啊
  3. 所属分类:专业指导

    • 发布日期:2009-05-14
    • 文件大小:312320
    • 提供者:duncan01
  1. 基于FPGA的Verilog HDL语言数字钟

  2. 基于FPGA的Verilog HDL语言数字钟基于FPGA的Verilog HDL语言数字钟基于FPGA的Verilog HDL语言数字钟基于FPGA的Verilog HDL语言数字钟
  3. 所属分类:硬件开发

    • 发布日期:2009-05-14
    • 文件大小:34816
    • 提供者:ytqcom
  1. 用vhdl实现的简单数字钟

  2. vhdl 简单数字钟 已经在quartus软件测试过,能实现简单的计数功能
  3. 所属分类:C/C++

    • 发布日期:2009-05-14
    • 文件大小:1024
    • 提供者:luckychan
  1. EDA技术多功能数字钟系统的设计

  2. 本设计为通过EDA仿真软件MAX+PLUSII设计一个多功能数字钟,并下载到硬件中实现。本系统的设计电路由计时电路、动态显示电路、闹钟电路、控制电路、显示电路等部分组成。本系统采用动态显示的原理在数码管上显示12小时计时的时刻,具有清零、保持、校时、报时的功能,并在此基础上增加了闹铃、秒表、12小时制计时、A/P显示等功能。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-15
    • 文件大小:521216
    • 提供者:liujilong8
  1. 多功能数字钟----数字电路实验报告

  2. 多功能数字钟 【摘 要】数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。 数字钟计时周期是24小时,因此必须设置
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:698368
    • 提供者:jayzf0503
  1. LED七段数码管数字钟

  2. 本课程设计的题目是:LED七段数码管数字钟 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒的功能。
  3. 所属分类:专业指导

    • 发布日期:2009-05-19
    • 文件大小:347136
    • 提供者:llqiu1986
  1. VHDL设计数字钟程序

  2. 这个数字钟有定时,校准,模拟钟摆和报时功能,程序经过实验验证
  3. 所属分类:专业指导

    • 发布日期:2009-05-22
    • 文件大小:908288
    • 提供者:bdhbxh
  1. 数字电子课程设计数字钟

  2. 该资源为数字钟课程设计,为手写版,只要用心做会大有收益,设计非常合理。
  3. 所属分类:专业指导

    • 发布日期:2009-05-28
    • 文件大小:267264
    • 提供者:gaoshunfyysurf
  1. 基于VHDL数字钟的设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括时分秒以及星期计数模块和重置时间模块。
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:201728
    • 提供者:yuanteng
  1. 基于EDA的数字钟设计 黄石理工 毕业设计

  2. 基于EDA的数字钟设计 黄石理工 毕业设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:2097152
    • 提供者:wang_boxiang
  1. 基于VHDL数字钟的设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括时分秒以及星期计数模块和重置时间模块。
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:304128
    • 提供者:yuanteng
  1. EDA大作业 数字钟设计

  2. EDA大作业 数字钟设计EDA大作业 数字钟设计EDA大作业 数字钟设计EDA大作业 数字钟设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-09
    • 文件大小:81920
    • 提供者:zhaoran0612
  1. 单片机实现的智能数字钟

  2. 单片机实现的智能数字钟,很好的一份论文,欢迎大家下载啊,#include #include #define uchar unsigned char #define uint unsigned int uchar duan_code[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uchar duan_code1[]={0xc0,0xf9,0x64,0x70,0x59,0x52}; sbit led0=P1^4; sbit led1=
  3. 所属分类:硬件开发

    • 发布日期:2009-06-09
    • 文件大小:445440
    • 提供者:charlesdingding
  1. 多功能数字钟----数字电路实验报告

  2. 1、用中规模集成电路设计一个数字钟的计数,译码,显示电路。 2、设计六十进制的秒计数器和分计数电路。 3、时计数器采用二十四进制,从00开始计数到23后再回到00。 4、设计校时装置,能对时分秒分别校正。 5、设计整点报时电路。
  3. 所属分类:专业指导

    • 发布日期:2009-06-09
    • 文件大小:698368
    • 提供者:goodmanfreesky
  1. vhdl数字钟设计与论文

  2. VHDL语音数字钟的设计,共20页,8707字 摘要 VHDL是Very High Speed Integrated Circuit HardwarDescr iptionLanguage的缩写,意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。
  3. 所属分类:专业指导

    • 发布日期:2009-06-09
    • 文件大小:6291456
    • 提供者:raymond0629
  1. 基于FPGA的数字钟设计报告

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用QuartusII软件进行电路波形仿真,下载到EDA实验箱进行验证。该设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VH
  3. 所属分类:硬件开发

    • 发布日期:2009-06-10
    • 文件大小:540672
    • 提供者:shiyun123
« 12 3 4 5 6 7 8 9 10 ... 50 »