您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于MPSoC的以太网接口设计与实现

  2. 研究了以太网在多核系统中的数据通讯,设计了以太网IP核到MPSoC网络资源的硬件接口。阐述了设计中各模块的实现功能和设计方法,通过仿真和FPGA验证结果表明,以太网接口数据通讯具有实时和高吞吐率。实现了多核系统与网络数据的信息传递,硬件设计结构简单、性能稳定可靠。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:281600
    • 提供者:weixin_38663526
  1. 浮点矩阵相乘IP核并行改进的设计与实现

  2. 基于Altera浮点IP核实现浮点矩阵相乘运算时,由于矩阵阶数的增大,造成消耗的器件资源虽增加但系统性能反而下降的问题,针对现有IP核存在数据加载不连贯、存储带宽不均匀的不足,提出采用并行化数据存储、依据查找表加载数据和处理数据的方式对IP核进行改进。然后将改进的浮点矩阵运算在FPGA中实现,经过Quartus、Matlab软件联合仿真并进行结果比对,其误差不超过万分之一,且节省了器件资源、提升了系统性能。仿真结果表明该设计可行,有利于提高诸多高性能领域浮点矩阵的运算速度。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:300032
    • 提供者:weixin_38626858
  1. 基于FPGA的室内智能吸尘平台设计与实现

  2. 采用FPGA、多种传感器和其他硬件协同工作的方法;在硬件的选型、仿真和组装,软件的设计、编程与调试等方面做了大量的实验。通过实验找到了软硬件中存在的问题并进行了改善。得到了一个具有遥控和自主吸尘功能、结构简单、成本低、能够智能躲避障碍物的基于FPGA的室内智能吸尘平台。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:289792
    • 提供者:weixin_38499336
  1. 罗兰C前端数字带通滤波器设计与实现

  2. 分析了罗兰C信号的特征,并根据信号特征决定选用FIR滤波器,利用MATLAB工具设计了满足滤波要求的高阶数字带通滤波器。详细研究了分布式算法的原理和分布式算法在FPGA上实现FIR数字滤波器的方法。最终采用改进的分布式算法在FPGA上实现了127阶FIR数字带通滤波器。利用实际采集的信号进行仿真和现场测试,结果均显示由该方法设计的滤波器性能良好,方法简单易行,相对于传统的乘累加结构不仅能节省硬件资源,而且可以改善数据处理速度,具有一定的推广价值。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:460800
    • 提供者:weixin_38499706
  1. 基于FPGA的视频叠加融合系统设计与实现

  2. 针对两通道视频图像叠加融合,设计并实现了一种实时性好、灵活性强的FPGA硬件系统。该系统可以根据实际需求进行任意比例和任意位置的视频图像叠加融合。方案经仿真验证后,运用双线性插值缩放算法、DDR2存储以及叠加融合等技术在FPGA硬件平台上实现。结果表明,该系统能达到预期效果,叠加融合画面效果良好,能够满足工程应用的需求。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:234496
    • 提供者:weixin_38556394
  1. 基于FPGA的FIR滤波器设计与实现

  2. 采用并行分布式算法和MAC算法给出了FIR滤波器的FPGA实现。以32阶FIR滤波器的设计为例,采用Altera公司Cyclone II系列的EP2C35F672C8 FPGA作为硬件平台,通过Modelsim、Quartus II、MATLAB软件平台对设计进行了联合仿真测试分析及验证。结果显示,该设计达到了指标要求,功能正确,资源占用及处理速度均得到了优化。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:308224
    • 提供者:weixin_38697808
  1. 多码率、多码长LDPC译码器的设计与实现

  2. 针对IEEE802.16e标准,基于层译码算法(TDMP)提出了一种适用于多码率、多码长的LDPC码译码器结构。该译码器采用半并行化和流水线设计,可以在保证电路灵活性的同时提高译码吞吐量。利用Xilinx公司的ISE工具进行综合仿真,使用的FPGA芯片为Virtex4-xc4vfx12-sf363-12,最大工作频率为170.278 MHz,译码吞吐量可达到128.77 Mb/s。最后,通过搭建软硬件协同验证平台验证设计的正确性,并将验证的结果与Matlab仿真结果进行了对比。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:256000
    • 提供者:weixin_38552305
  1. 基于DSP Builder的GPS/BD2快捕算法设计与实现

  2. 介绍了GPS L1频点和BD2 B1频点并行码相位捕获算法的基本原理。为了提高捕获速度,减少计算量,保证捕获精度,易于电路实现,采用固定位置的平均采样方法改进了捕获算法。在Simulink/DSP Builder环境下设计实现了GPS和BD2卫星的快速捕获电路。仿真和实际测试结果表明,DSP Builder图形化设计环境能够高效地完成改进捕获算法的电路设计,而且算法既能发挥FPGA的并行特性又能降低对资源的要求,设计的电路在保证捕获精度的同时达到了双模双通道数据实时处理和快速捕获的要求。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:284672
    • 提供者:weixin_38586200
  1. 某型雷达仿真训练系统PPI显示设计与实现

  2. 开展了基于FPGA的雷达PPI显示研究,介绍了PPI显示的顶层模块设计及功能组成,详细阐述了航路目标PPI显示原理及实现方法、目标坐标参数转换、参数信息格式转换、串行通信及参数信息周期更新等功能IP核设计。并且简要介绍了各功能模块的仿真试验情况及在硬件平台中的性能测试情况。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:779264
    • 提供者:weixin_38630612
  1. 视频阵列处理器数据加载电路的设计与实现

  2. 随着多种视频编解码标准和视频算法的提出,视频处理器高效性和灵活性显得更为重要。针对视频阵列处理器中数据加载速率与阵列处理单元处理不匹配的问题,通过对视频编解码标准算法的分析,深度挖掘数据访存冗余和传输的特点,在可编程可重构体系结构下,设计了支持灌入和Cache两种工作模式的数据加载电路,并进行了功能仿真和FPGA验证。结果表明,该电路能够满足1 080P视频处理对数据加载的要求,采用Desgin Compiler在SMIC 0.13 ?滋m CMOS工艺标准单元库下综合,频率可达197 MHz。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:405504
    • 提供者:weixin_38617196
  1. 基于FPGA的信号灯冲突检测电路的设计与实现

  2. 采用软件控制方式的道路交通信号机在死机时往往失去其绿冲突保护功能。根据“绿冲突矩阵”的检测原理,本文提出一种道路交通信号控制机的信号冲突检测方案,采用自顶向下的设计方法,通过FPGA实现系统的各个功能模块。该系统可以独立地检测绿灯信号冲突这种道路交通的异常情况,并能立即做出处理。仿真及实际测试结果表明,该系统时序分配与程序设计合理,工作稳定可靠,并能够提高信号机嵌入式系统的实时性。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:827392
    • 提供者:weixin_38548231
  1. 基于FPGA的改进结构的DDS设计与实现

  2. 主要介绍了数字频率合成器的原理和杂散来源,给出了节约存储空间的ROM表的压缩算法,采用相位抖动和平衡DAC方法对DDS结构进行了改进,抑制了相位截断误差和减小了DAC非理想特性的影响。仿真分析了用于相位抖动的随机序列周期性对杂散的影响,最后基于FPGA平台实现了改进结构的DDS,并对结果进行了测试。测试结果表明DDS用作跳频器时,杂散抑制优于40 dBc。采用此种方法设计的DDS杂散抑制度高,稳定性好,性能优越。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:467968
    • 提供者:weixin_38613640
  1. 可变带宽数字下变频的设计与FPGA实现

  2. 分析了数字下变频的结构和原理,提出了一种适用于多种带宽信号的数字下变频方案,并对其中的混频模块和抽取滤波模块进行了详细设计和介绍,最后结合Matlab和FPGA开发平台,分别对不同带宽信号的下变频功能进行了仿真和验证,结果表明方案是可行的。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:432128
    • 提供者:weixin_38679045
  1. 基于FPGA的自动售货机主控制系统的设计与实现

  2. 从总体上介绍了自动售货机主控制系统的组成和功能,讨论了自动售货机工作原理,详细阐述了主控制系统FPGA的软、硬件设计及其主要控制模块的仿真实现,并编写了系统程序总框图。实践验证,系统能够在各种条件下持续、平稳、可靠地工作。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:277504
    • 提供者:weixin_38746018
  1. JPEG2000 MQ编码器的设计与实现

  2. 提出了一种JPEG2000 MQ编码器的硬件设计方案。通过状态更新超前预测、前导零检测、重归一化超前预测等方法以及字节输出的改进处理,使MQ编码器的工作速率可达1CxD/cycle。同时对各流水段中的路径进行优化改进,提高了系统的最高时钟频率。采用Verilog语言进行RTL级描述,并在Altera的FPGA上进行了仿真验证。结果表明,在Altera的EP2S60F67214上,该MQ编码器的最高工作时钟频率可达65.19 MHz。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:263168
    • 提供者:weixin_38719890
  1. AES算法的可配置硬件结构设计与实现

  2. 在分析AES算法的基础上,介绍了该算法各模块的设计实现方法,并将加解密运算结构设计为1个统一的结构。通过对密钥生成算法的分析,将3种密钥长度的密钥生成算法进行了可配置设计,使该设计能够实现加解密功能。该设计通过了FPGA仿真验证,与传统设计方案相比大大减小了硬件资源的消耗。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:166912
    • 提供者:weixin_38658471
  1. 适于底层协议栈开发的数据采集与仿真系统

  2. 针对数字通信系统中底层协议栈开发过程中处理数据量大,出现问题不易再现、难于追踪的问题,设计了一种专门用于底层协议栈开发的高速数据采集、仿真系统。系统采用USB总线作为高速数据通路,使用FPGA进行格式转换及数据缓冲。论述了仿真系统的工作原理和系统框架,分析了数据转换、解析的流程,给出了系统仿真的一般模式。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:245760
    • 提供者:weixin_38729399
  1. 基于DSP Builder的带宽自适应全数字锁相环的设计与实现

  2. 在设计方法上多采用VHDL语言或者Verilog HDL语言编程完成系统设计,并利用EDA软件对系统进行时序仿真,以验证设计的正确性。该种设计方法就要求设计者对FPGA硬件有一定的了解,并且具有扎实的硬件描述语言编程基础。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:552960
    • 提供者:weixin_38689551
  1. 基于FPGA的PPM系统设计与实现

  2. 给出了脉冲位置调制(PPM)系统的设计方案,并基于FPGA通过简明的Verilog代码实现了该设计,时序仿真结果验证了所设计的系统能够满足PPM系统的要求,并在满足一定性能需求的情况下消耗了较少的逻辑资源。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:173056
    • 提供者:weixin_38721398
  1. 基于VHDL+FPGA的自动售货机控制模块的设计与实现

  2. EDA技术是以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:164864
    • 提供者:weixin_38571759
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 45 »