您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 一种带Cache的嵌入式CPU的设计与实现

  2. 本文主要讲一种带Cache的嵌入式CPU的设计与实现,给出了流水线CPU的关键模块的VHDL实现,经过逻辑综合和仿真,仿真结果表明在时序上设计的嵌入式CPU很好地满足了流水线的要求。生成位流数据文件对FPGA进行器件编程,FPGA芯片可以在50 MHz的时钟频率下稳定的运行。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:159744
    • 提供者:weixin_38686153
  1. 基于FPGA设计数字滤波器的设计实现与仿真

  2. 本文为读者介绍了基于FPGA设计数字滤波器的设计实现与仿真,供读者参考学习,希望对读者有所帮助。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:166912
    • 提供者:weixin_38577261
  1. 基于FPGA的多模式数字匹配滤波器的设计与实现

  2. 数字匹配滤波器(DMF)是直接序列扩频(DSSS)通信系统的关键部件,采用FPGA设计数字匹配滤波器可以获得更高的系统性能。首先介绍了数字匹配滤波器的原理,然后阐述了多模式DMF的设计原理,在同一个直接序列扩频通信系统的接收端集成多种模式,实现对多种扩频比扩频信号的解扩,提高通信系统的性能。在此基础上,通过MATLAB仿真验证其有效性,最后给出了FPGA实现的过程和结果。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:595968
    • 提供者:weixin_38663544
  1. 简述FPGA系统的仿真和测试

  2. 一、概述   FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。   在FPGA 设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:87040
    • 提供者:weixin_38537684
  1. 双网传真机的编译码电路设计与实现

  2. 对双网数字传真机硬件系统中的编码和译码电路进行设计,并采用FPGA芯片进行系统实现和验证。其中的编译码电路分别采用两级编码和快速译码的思路,利用硬件描述语言设计和仿真,简化了逻辑电路的实现。验证测试表明,该电路增强了系统的稳定性和可靠性,提高了编译码效率,缩短了开发周期。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:292864
    • 提供者:weixin_38529951
  1. 基于CPLD/FPGA的多串口设计与实现

  2. 本文详细介绍了CPLD/FPGA多串口设计的内部构成,对各个模块的工作原理和关键信号进行了分析,给出了EDA软件仿真形波和测试结果。通过对FPGA/CPLD多串口的设计与实现,为嵌入式系统中串口的扩展提供了一定的帮助。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:276480
    • 提供者:weixin_38522552
  1. 嵌入式系统/ARM技术中的基于FPGA的音乐硬件演奏电路设计与实现(二)

  2. 相关资料: 基于FPGA的音乐硬件演奏电路设计与实现(一)       3 系统的方案实现   3.1 各模块仿真及描述   notetabs作为音符rom的地址发生器,此模块中设置了一个8位2进制计数器,频率为4hz,即为4个音符一秒时间四四拍的4分音符。notetabs计数器计数器按4hz时钟频率做加法计数,当stop为高电平时停止加法运算,而当change可设置rom中数据的跳转,rom通过toneindex[30]端口输向tonetaba模块。乐曲就演奏起来了。   由
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:321536
    • 提供者:weixin_38499349
  1. 基于FPGA与FSM的高精度测角系统设计与实现

  2. 介绍了一种基于有限状态机(FSM)的高精度角度测量系统。该系统采用Renishaw高精度增量式光电编码器作为位置传感器来测量角度,在FPGA上用VHDL语言描述与仿真有限状态机,实现信号滤波与去抖,从而保证了计数器计数的正确性。在ARM9处理器上实现角度的实时计算,并控制转台旋转。在激光跟踪测量系统的工程应用中验证了该系统的正确性和有效性。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:348160
    • 提供者:weixin_38606202
  1. 异构多核图形处理器存储系统设计与实现

  2. 提出了异构多核图形处理器(HMGPU)存储管理系统的硬件实现方法,采用固定分区与分页式分区两种方式分别对大片连续数据与小片非连续数据进行管理,使用Verilog语言进行硬件设计和仿真,并在FPGA开发板上进行了验证。实验结果表明,该系统为HMGPU提供了2 021.2 MB/s的有效存储带宽。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:185344
    • 提供者:weixin_38592758
  1. 基于FPGA的数字秒表设计与实现

  2. 在Quartus II软件平台的基础上,基于VHDL语言及图形输入,采用FPGA设计了一款数字秒表,同时,给出了数字秒表系统设计方案及各个功能模块的设计原理。通过对系统进行编译、仿真,并下载到Cyclone系列EP2C5Q208C8器件中进行测试,结果表明,本设计能实现计时显示、启停、复位及计时溢出报警功能。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:215040
    • 提供者:weixin_38608866
  1. 基于FPGA的FLAC音频硬解码的设计与实现

  2. 针对高保真FLAC音频播放系统中软件解码效率低下、占用系统资源大的问题,提出一种基于FPGA的FLAC音频硬解码的设计方案。分析了FLAC音频基本编解码原理,并详细介绍了基于现场可编程门阵列(FPGA)器件的FLAC解码器各模块的设计思想和实现。利用Verilog语言在Quartus II 的开发环境中进行设计输入与仿真验证。实验测试结果表明,该FLAC解码器设计灵活、工作稳定可靠、解码效率高,可作为IP核应用于不同SoC的无损音频播放系统中。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:340992
    • 提供者:weixin_38570145
  1. 基于KMP串模式匹配算法的序列检测器的FPGA设计

  2. 基于FPGA设计一个能够检测出重叠匹配串的序列检测器。首先从KMP字符串模式匹配算法出发,推导出next函数值与序列检测器状态之间的关系,并针对匹配串重叠的情况进行修改,得到有限状态机的状态转换图,最后用VHDL语言描述并仿真验证。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:328704
    • 提供者:weixin_38502814
  1. 基于G3-PLC的RS译码器的设计与实现

  2. 针对G3-PLC物理层信道编码的要求,设计了一种RS译码器。为了解决译码过程中有限域乘法器存在的连线复杂、运算速度慢等问题,设计了一种查表运算。采用该查表运算可以快速实现有限域的乘法运算,并且可以简化BerlekampMassey (BM)迭代过程中的求逆运算,使得用传统的BM迭代就可以高效地实现RS译码。结合FPGA平台,利用Verilog硬件描述语言和Vivado软件对译码器进行设计与实现。时序仿真结果与综合结果表明,该译码器资源占用率低,能够在100 MHz系统时钟下进行有效译码。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:262144
    • 提供者:weixin_38601499
  1. 基于FPGA的微处理器内核设计与实现

  2. 设计了基于FPGA并与MCS-51单片机指令兼容的高效微处理器内核。本内核改进了传统MCS-51单片机的体系结构,使每个机器周期只需一个时钟周期,提高了指令的执行效率。同时增加了硬件看门狗及软件复位功能,提高了系统的可靠性和抗干扰能力。本内核通过了功能仿真并下载到FPGA中成功运行。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:102400
    • 提供者:weixin_38565801
  1. 基于FPGA的MSK调制解调器设计与应用

  2. 基于FPGA的MSK调制解调器设计与应用,计和时序仿真。硬件部分在Altera公司EP2C15AF256C8NFPGA上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。关键词:现场可编程逻辑阵列,最小频移键控,调制,时序仿真Abstract:
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:195584
    • 提供者:weixin_38713167
  1. Actel面向FPGA设计的新版IDE支持添加时序约束功能

  2. Actel公司日前宣布推出最新的Libero集成设计环境(IDE) 6.2版本。新版本集成了最佳的设计工具,拥有设计分析和时序收敛的崭新重要功能,使得现场可编程门阵列(FPGA)设计人员在质量、效率和功能方面获得最好的效果。与Libero 6.2一同推出的还有Actel全新SmartTime静态时序分析环境,能够协助客户分析和管理时序,进行高级的时序验证,并通过与时序驱动布局布线紧密结合而保证可预测的时序收敛。    在这个Libero版本中,Actel和Mentor进一步合作,把Mentor 
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:66560
    • 提供者:weixin_38692100
  1. 基于SYSTEM C的FPGA设计方法

  2. 一、概述   随着VLSI的集成度越来越高,设计也越趋复杂。一个系统的设计往往不仅需要硬件设计人员的参与,也需要有软件设计人员的参与。软件设计人员与硬件设计人员之间的相互协调就变的格外重要,它直接关系到工作的效率以及整个系统设计的成败。传统的设计方法没有使软件设计工作与硬件设计工作协调一致,而是将两者的工作割裂开来。软件算法的设计人员在系统设计后期不能为硬件设计人员的设计提供任何的帮助。同时现在有些大规模集成电路设计中往往带有DSP Core或其它CPU Core。这些都使得单纯地用原理图或
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:102400
    • 提供者:weixin_38725119
  1. 嵌入式系统/ARM技术中的EthernetPON系统核心MAC控制器的设计与实现

  2. 摘要:本文提出了一种EPON系统核心MAC控制器的设计方案,融合FPGA技术与嵌入式系统实现了EPON的点对多点MAC接入功能.帧校验、加密、分类及仲裁等控制部分用FPGA完成,涉及复杂算法的注册与动态带宽分配利用嵌入式Linux平台实现.对MAC控制器设计中的关键技术点进行了全面阐述,提出了一种基于滑动窗机制的动态带宽分配方案以改善网络QoS性能.系统仿真结果表明,该设计方案可以采用低成本的FPGA来实现,为开发MAC控制器专用芯片提供了实用参考.   关键词:以太网无源光网络;媒体接入控制;
  3. 所属分类:其它

    • 发布日期:2020-12-05
    • 文件大小:421888
    • 提供者:weixin_38709379
  1. 基于FPGA的海洋浮标卫星通信信号设计与实现

  2. 针对海洋环境复杂多变造成的海洋浮标监测信号难以完整接收的问题,提出一种基于FPGA的海洋浮标卫星通信基带信号生成方法。在EDA工具上完成了基带信号的设计和仿真,并在实验室设计的海洋浮标卫星通信接收机上进行验证,实现了海洋监测数据实时发送的功能。测试结果表明,该信号稳定可靠,具有一定的应用价值。
  3. 所属分类:其它

    • 发布日期:2021-03-23
    • 文件大小:126976
    • 提供者:weixin_38609453
  1. EPON系统MAC控制器的设计与实现

  2. 摘要:本文提出了一种EPON系统核心MAC控制器的设计方案,融合FPGA技术与嵌入式系统实现了EPON的点对多点MAC接入功能.帧校验、加密、分类及仲裁等控制部分用FPGA完成,涉及复杂算法的注册与动态带宽分配利用嵌入式Linux平台实现.对MAC控制器设计中的关键技术点进行了全面阐述,提出了一种基于滑动窗机制的动态带宽分配方案以改善网络QoS性能.系统仿真结果表明,该设计方案可以采用低成本的FPGA来实现,为开发MAC控制器专用芯片提供了实用参考.关键词:以太网无源光网络;媒体接入控制;嵌入式
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:466944
    • 提供者:weixin_38596485
« 1 2 3 4 56 7 8 9 10 ... 45 »