您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA 的DDS 调频信号的研究与实现.pdf

  2. 基于FPGA 的DDS 调频信号的研究与实现 摘 要:本文从DDS 基本原理出发,利用FPGA 来实现DDS 调频信号的产生,重点介绍了其 原理和电路设计,并给出了FPGA 设计的仿真和实验,实验结果表明该设计是行之有效的。 关键词: DDS;调频;FPGA;仿真
  3. 所属分类:硬件开发

    • 发布日期:2009-05-08
    • 文件大小:82944
    • 提供者:willdododo
  1. 短波接收机中数字AGC的FPGA设计与实现

  2. 根据短波接收机对自动增益控制(AGC)电路的增益调节范围的要求,本文从AGC的基本原理和实现方法出发,给出一种前馈式数字AGC算法。讨论了该算法的设计结构和各个参数的设置方法,并给出了用FPGA实现该算法的技巧。仿真结果表明,该算法使复杂的数字式AGC可以很容易的实现,并且节省了硬件资源。
  3. 所属分类:其它

    • 发布日期:2009-06-22
    • 文件大小:277504
    • 提供者:shinco3006
  1. 基于FPGA的高速IIR数字滤波器设计与实现

  2. 基于FPGA的高速IIR数字滤波器设计与实现,详细讲解在FPGA中涉及IIR数字滤波器的方法,并有仿真结果
  3. 所属分类:硬件开发

    • 发布日期:2009-06-24
    • 文件大小:6291456
    • 提供者:wangr0913
  1. FPGA有价值的27个实例

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2009-11-15
    • 文件大小:1048576
    • 提供者:hb830513821001
  1. 基于FPGA的DDS调频信号的研究与实现

  2. 本文从DDS 基本原理出发利用FPGA 来实现DDS 调 频信号的产生 重点介绍了其原理和电路设计" 并给出了 FPGA 设计的仿真和实验.实验结果表明该设计是行之有效 的
  3. 所属分类:硬件开发

    • 发布日期:2010-03-01
    • 文件大小:1048576
    • 提供者:ssdkzh
  1. FPGA实例大全,都包含源程序仿真,实际运行通过

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2010-03-28
    • 文件大小:1048576
    • 提供者:hughzotob
  1. FPGA设计实例ADC0809 VHDL控制程序LCD控制VHDL程序与仿真TLC5510 VHDL控制程序URAT VHDL程序与仿真

  2. 包含ADC0809 VHDL控制程序LCD控制VHDL程序与仿真TLC5510 VHDL控制程序URAT VHDL程序与仿真
  3. 所属分类:嵌入式

    • 发布日期:2010-04-20
    • 文件大小:66560
    • 提供者:ysb0217
  1. 基于FPGA的数字频率计设计与仿真

  2. 真正的学习资源,对学习FPGA的同学有很大帮助,好东西值得分享
  3. 所属分类:硬件开发

    • 发布日期:2010-04-22
    • 文件大小:89088
    • 提供者:ytb522
  1. IEEE802_11a中QPSK解调的FPGA设计与实现

  2. 分析了IEEE 802.11a中QPSK信号的调制解调原理,给出了一种针对2个支路 的FPGA解调的实现方案,并对解调方案进行了软件仿真,得到了正确结果
  3. 所属分类:硬件开发

    • 发布日期:2010-05-02
    • 文件大小:460800
    • 提供者:ma_juan
  1. 基于Verilog hdl的FPGA设计与工程应用_源代码

  2. “第2章示例”目录: 例2-1.v————————书中例2-1的Verilog源代码; 例2-2.v————————书中例2-2的Verilog源代码; 例2-3.v————————书中例2-3的Verilog源代码; 例2-4.v————————书中例2-4的Verilog源代码; 例2-5.v————————书中例2-5的Verilog源代码; “function”示例.v——书中关键字“function”示例的Verilog源代码; “task”示例.v————书中关键字“task”示例
  3. 所属分类:iOS

    • 发布日期:2010-09-03
    • 文件大小:9437184
    • 提供者:mixwill3
  1. 基于FPGA的电子时钟的VHDL实现

  2. 1. 10进制计数器设计与仿真 2. 6进制计数器设计与仿真 3. 译码器设计 4. 顶层设计与仿真
  3. 所属分类:嵌入式

    • 发布日期:2010-11-24
    • 文件大小:117760
    • 提供者:TOMORROW_LIU
  1. FPGA很有价值的27实例

  2. URAT VHDL程序与仿真 频率计程序设计与仿真 LED控制VHDL程序与仿真 DAC0832 接口电路程序 FSK调制与解调VHDL程序及仿真 自动售货机VHDL程序与仿真 出租车计价器VHDL程序与仿真 电梯控制器程序设计与仿真 电子时钟VHDL程序与仿真
  3. 所属分类:嵌入式

    • 发布日期:2011-04-06
    • 文件大小:1048576
    • 提供者:xiaoxi8592
  1. MSk调制解调器的设计与时序仿真

  2. 本文详细描述了从设计到时序仿真的整个过程 具有FPGA基础的设计人员,可以据此写入相应的芯片 实现程序的模块化
  3. 所属分类:其它

    • 发布日期:2011-04-25
    • 文件大小:687104
    • 提供者:karmong
  1. 基于FPGA的DDS调频信号的研究与实现*

  2. 本文从DDS基本原理出发,利用FPGA来实现DDS调频信号的产生,重点介绍了其原理和电路设计,并给出了FPGA设计的仿真和实验,实验结果表明该设计是行之有效的。
  3. 所属分类:数据库

    • 发布日期:2011-06-27
    • 文件大小:180224
    • 提供者:ls1009
  1. 基于FPGA的SOPC嵌入式系统设计与典型实例光盘文件A

  2. 基于FPGA的电子系统设计技术是21世纪电子应用工程师必备的技能之一,而基于FPGA的SOPC设计技术是当前电子系统设计领域最前沿的技术之一。SOPC设计具体包括以32位NiosII为核心的嵌入式系统的硬件配置、硬件设计、硬件仿真、软件设计以及软件调试等。本资料是基于FPGA的SOPC嵌入式系统设计与典型实例光盘文件中的1,2,3,4设计实例
  3. 所属分类:专业指导

    • 发布日期:2011-09-08
    • 文件大小:44040192
    • 提供者:yuyebiaofeng
  1. 基于FPGA的SOPC嵌入式系统设计与典型实例光盘文件B

  2. 基于FPGA的电子系统设计技术是21世纪电子应用工程师必备的技能之一,而基于FPGA的SOPC设计技术是当前电子系统设计领域最前沿的技术之一。SOPC设计具体包括以32位NiosII为核心的嵌入式系统的硬件配置、硬件设计、硬件仿真、软件设计以及软件调试等。本资料是基于FPGA的SOPC嵌入式系统设计与典型实例光盘文件中的5,6,7设计实例
  3. 所属分类:专业指导

    • 发布日期:2011-09-08
    • 文件大小:38797312
    • 提供者:yuyebiaofeng
  1. 基于ARM+FPGA的运动控制器设计与实现

  2. 本文以微控制器AT91RM9200和EP1C6Q240C8为核心,对工业CT机的运动控制器进行了设计,从硬件和软件两个方面对控制器的关键技术进行研究与设计,应用单神经元自适应PID控制算法进行仿真,并给出系统实际运行结果。
  3. 所属分类:嵌入式

  1.  基于FPGA 的多路机载总线收发器的设计与实现

  2. 本文以workbench 为平台,vxworks 为操作系统,chipscope 为分析工具,介绍了完整的ARINC429 协议和422协议的FPGA 硬件调试,通过硬件调试发现modelsim 仿真所不能发现的问题并分析问题解决问题,从而完成多路机载总线收发器的设计与实现。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:1048576
    • 提供者:weixin_38690508
  1. 全并行FIR滤波器的FPGA实现与优化

  2. FIR数字滤波器的实现方法很多,而现代数字通信对实时性的需求决定其需要很高的数据吞吐率和处理速度。文章探求高速全并行FIR的FPGA实现方法,并以8输入15阶FIR滤波器为示例,在直接型FIR的基础上改进得到全并行FIR结构,采用Verilog硬件描述语言完成设计,仿真结果与MATLAB软件测试结果一致。在此基础上,提出两种改进措施,并进行综合、布局布线,对比所占资源,结果分布式FIR为硬件实现的最佳选择。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:1048576
    • 提供者:weixin_38697328
  1. 基于FPGA的图文打印机卷纸张力控制设计与实现

  2. 针对图文打印机中卷纸张力的变化对打印质量的影响,对系统张力数学模型进行分析,采用微控制器FPGA以及外围控制电路设计适合喷墨打印机的闭环张力控制系统,给出了系统硬件设计和软件设计方案,对模糊PID控制模块进行设计和仿真,并且对比了常规PID和模糊PID的阶跃响应。系统具有响应速度快、精度高、控制简单等特点,并具有模糊PID控制算法,适合喷墨打印机的张力控制,降低了制造成本,满足系统的控制要求。
  3. 所属分类:其它

    • 发布日期:2021-01-27
    • 文件大小:1048576
    • 提供者:weixin_38741101
« 1 2 3 4 5 67 8 9 10 11 ... 45 »