您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的数字频率计设计与仿真

  2. 基于FPGA的数字频率计设计与仿真,设计了一个简单的数字频率计,对于初学者有一定的帮助
  3. 所属分类:其它

    • 发布日期:2011-03-21
    • 文件大小:162816
    • 提供者:jixiang1119
  1. 一种基于FPGA的MSK信号调制方法的设计与仿真

  2. 一种基于FPGA的MSK信号调制方法的设计与仿真
  3. 所属分类:硬件开发

    • 发布日期:2012-05-29
    • 文件大小:198656
    • 提供者:balloonyan
  1. 基于FPGA的OFDM系统设计与仿真

  2. 基于FPGA的OFDM系统设计与仿真,一篇硕士论文,比较有用,可以参考
  3. 所属分类:硬件开发

    • 发布日期:2012-12-18
    • 文件大小:6291456
    • 提供者:rui_zhang_xd
  1. 基于FPGA的FIR数字滤波器设计与仿真

  2. 基于FPGA的FIR数字滤波器设计与仿真
  3. 所属分类:硬件开发

    • 发布日期:2009-04-02
    • 文件大小:203776
    • 提供者:qianbo108
  1. 评估NI LabVIEW 2014用于控制设计和仿真.zip

  2. 评估NI LabVIEW 2014用于控制设计和仿真zip,NI 提供完整的系统辨识,控制设计,仿真和控制器执行模块工具,利用这些模块和工具包能方便客户进行算法开发、分析和验证。包括控制设计与仿真、系统辨识工具、Real-Time、实时执行跟踪、FPGA、PID和模糊逻辑等模块与工具包。更多信息请访问ni.com/labview/zhs。
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:192512
    • 提供者:weixin_38743506
  1. 802.11ax发射机的FPGA设计与实现_黄业智.caj

  2. 基于802.11ax协议分析发射机的数据处理流程,然后根据仿真平台,在FPGA上完成发射机上各模块的硬件设计和实现
  3. 所属分类:硬件开发

    • 发布日期:2019-07-20
    • 文件大小:3145728
    • 提供者:xujunying2016
  1. 基于FPGA的车辆计费系统的设计与仿真实现

  2. 针对传统出租车计费系统硬件电路复杂、资源扩展有限,不利于系统整体功能升级的缺点,为研究更适应现实需要的计价器设计需求,采用FPGA技术的设计方法,提出了一种更适应生活需求的车辆计费系统,其中包括系统的硬件设计、软件设计以及系统仿真测试。该计费系统应用自顶而下的设计思想,以FPGA芯片CycloneⅣ4CE115微处理器为核心,完善外围电路并进行扩展,通过Atera公司的QuartusⅡ软件,利用verilog语言编程,调用Modelsim仿真工具对系统各个模块进行综合仿真验证,重点对测试代码te
  3. 所属分类:其它

    • 发布日期:2020-06-26
    • 文件大小:777216
    • 提供者:weixin_38648309
  1. 基于FPGA的FIR滤波器设计与仿真

  2. 1 FIR滤波器的结构特点 2 FPGA概述及特点 3 查找表结构的FIR算法 4 设计思路及步骤 5 仿真验证
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:107520
    • 提供者:weixin_38522253
  1. 直接扩频通信同步系统的FPGA设计与实现

  2. 本文对直接扩频通信同步系统进行了研究,使用PN码作为扩频序列,利用其良好的自相关性,提出一种新式的滑动相关法使收发端同步,并给出该系统的FPGA实现方法。利用ISE 10.1开发软件仿真验证,证明此方法可以提高运算速度,减少捕获时间。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:156672
    • 提供者:weixin_38653691
  1. 基于Verilog HDL的UART模块设计与仿真

  2. 通用异步收发器UART常用于微机和外设之间的数据交换,针对UART的特点,提出了一种基于Verilog HDL的UART设计方法。采用自顶向下的设计路线,结合状态机的描述形式,使用硬件描述语言设计UART的顶层模块及各个子模块,从而使整个设计更加紧凑、可靠。同时采用参数化的设计方法,增强系统的可移植性。仿真结果表明,该系统可支持标准异步串行传输RS-232协议,可集成到FPGA芯片中使用。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:83968
    • 提供者:weixin_38709511
  1. VHDL的QPSK调制解调技术系统的设计与仿真

  2. 文中详细介绍了QPSK技术的工作原理,完成QPSK调制、解调的系统设计方案,并通过VHDL语言编写调制解调程序,通过QuartusⅡ软件对模块和程序进行仿真,并通过引脚锁定,下载到FPGA芯片EP1K30TC144-3中,软件仿真和硬件验证结果表明了该设计的正确性和可行性。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:239616
    • 提供者:weixin_38691453
  1. 基于DDS技术的波形发生器设计与仿真

  2. 本文介绍了DDS的基本原理,同时针对DDS波形发生器的FPGA实现进行了简要介绍,利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:198656
    • 提供者:weixin_38695293
  1. 基于CAN核的四冗余通信板设计与仿真

  2. 本文设计的CAN总线通信板完成了PC/104与CAN总线的通信转换,改变了传统的应用CAN控制器加外部控制器的设计方法,在设计CAN核的基础上,将通信板中所有数字信号处理部分都放在FPGA内部来实现,使通信速度得到很大提高。
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:241664
    • 提供者:weixin_38590775
  1. 基于FPGA的ARM并行总线设计与仿真分析

  2. 在数字系统的设计中,FPGA+ARM的系统架构得到了越来越广泛的应用,FPGA主要实现高速数据的处理;ARM主要实现系统的流程控制。人机交互。外部通信以及FPGA控制等功能。I2C、SPI等串行总线接口只能实现FPGA和ARM之间的低速通信; 当传输的数据量较大。要求高速传输时,就需要用并行总线来进行两者之间的高速数据传输。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:145408
    • 提供者:weixin_38717870
  1. 基于FPGA的FIR数字滤波器设计与仿真

  2. 采用改进并行分布式算法设计了一种16抽头FIR数字低通滤波器,首先用Matlab工具箱中的FDATool设计滤波器系数,然后使用硬件描述语言Verilog HDL和原理图,实现了子模块和系统模块设计,在Matlab与QuartusII中对系统模块进行联合仿真。仿真结果表明,设计系统性能稳定,滤波效果良好,且实用性较强。
  3. 所属分类:其它

    • 发布日期:2020-08-28
    • 文件大小:274432
    • 提供者:weixin_38645379
  1. DSP中的一种FIR数字滤波器设计与仿真

  2. 1 引言     实现数字化是控制系统的重要发展方向,而数字信号处理已在通信、语音、图像、自动控制、雷达、军事、航空航天等领域广泛应用。数字信号处理方法通常涉及变换、滤波、频谱分析、编码解码等处理。数字滤波是重要环节,它能满足滤波器对幅度和相位特性的严格要求,克服模拟滤波器所无法解决的电压和温度漂移以及噪声等问题。而有限冲激响应FIR滤波器在设计任意幅频特性的同时能够保证严格的线性相位特性。利用FPGA可以重复配置高精度的FIR滤波器,使用VHDL硬件描述语言改变滤波器的系数和阶数,并能实现大量
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:774144
    • 提供者:weixin_38512659
  1. 基于FPGA的FIR滤波器设计与仿真

  2. FIR数字滤波器以其良好的线性相位特性被广泛使用,属于数字信号处理的基本模块之一。FPGA具有的灵活的可编程逻辑可以方便地实现高速数字信号处理。为了提高实时数字信号处理的速度,利用FPGA芯片内部的ROM实现一种查找表结构的FIR数字滤波器。并用MATAB对实验结果进行仿真和分析,证明了设计的可行性。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:181248
    • 提供者:weixin_38733885
  1. 嵌入式系统/ARM技术中的基于FPGA的数字秒表设计与仿真

  2. 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA.对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。   文中着
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:173056
    • 提供者:weixin_38613681
  1. 模拟技术中的基于DDS技术的波形发生器设计与仿真

  2. 摘要:本文介绍了基于FPGA技术的DDS波形发生器的原理与设计,并利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。实验结果表明,利用FPGA能在很短时间内快速构建任意波形,提高了设计效率,具有实际应用价值。   1.引言   DDS频率合成器具有频率分辨率高,输出频点多,可达2N个频点(假设DDS相位累加器的字长是N);频率切换速度快,可达us量级;频率切换时相位连续的优点,可以输出宽带正交信号,其输出相位噪声低,对参考频率源的相位噪声有改善作用;可以产
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:254976
    • 提供者:weixin_38630612
  1. EDA/PLD中的基于FPGA的线阵CCD子图像提取模块的设计与仿真

  2. 摘要:根据线阵CCD 图像检测和识别系统的要求,分析线阵CCD 图像与子图像的位置关系,采用“图像转置缓冲区”和读写状态机的处理方式,设计基于FPGA 的线阵CCD 子图像提取模块,具有FPGA 资源占用少、逻辑清晰的特点。用MATLAB 和Modelsim 软件进行联合仿真,验证了设计的正确性。   0 引言   在工业生产自动化系统中,通过计算机视觉和图像处理技术来实现产品的质量监测和控制,已逐渐成为一种有效的应用技术。线阵CCD 图像传感器广泛地应用于产品尺寸测量和分类、非接触尺寸测量
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:156672
    • 提供者:weixin_38724611
« 1 23 4 5 6 7 8 9 10 ... 45 »