您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA设计成的可测量0.1Hz~1GHZ的宽量程数字频率计

  2. 使用三种测频方法测量0.1~·1GHz的信号,并且可以自动切换量程。 使用FPGA开发数字频率计,使用了直接测频法,测周期法,等精度测量法三种测频方法对输入信号频率进行测量。同时具有输入信号的量程估计模块,可以对输入信号的频率范围进行估计并自动切换测频方法与对应的硬件电路。本程序搭配合适的外围硬件模块可以测量0.1~1GHZ的信号频率。若不使用外围电路分频,本程序可以在FPGA时钟为100MHZ的情况下测量40MHz以内的频率。测量误差小于0.0001. 本程序包括有三个测频程序,量程估计程序,
  3. 所属分类:硬件开发

    • 发布日期:2020-07-10
    • 文件大小:2097152
    • 提供者:qq_39543399
  1. 全功能SPI接口的设计与实现

  2. SPI串行通信接口是一种常用的标准接口,由于使用简单方便且节省系统资源,很多芯片都支持该接口,应用相当广泛。但是现有文献和设计多数仅实现了SPI接口的基本发送和接收功能,对SPI接口的时序控制没有进行深入的研究。全功能SPI接口应具有四种不同的时钟模式,以适应具有不同时序要求的从控制器。文中主要研究SPI接口的时钟时序,并用具体电路实现具有4种不同极性和相位的时钟,最后通过仿真验证和FPGA验证。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:106496
    • 提供者:weixin_38556668
  1. 基于FPGA的1553B总线接口设计与验证

  2. 为降低成本,提高设计灵活性,提出一种基于FPGA的1553B总线接口方案;采用自顶向下的设计方法,在分析1553B总线接口工作原理和响应流程的基础上,完成了接口方案各FPGA功能模块设计;对关键模块编写VHDL代码,并采用Active⁃HDL软件进行了仿真;以Virtex⁃5 FPGA 开发板和PC机为验证平台,在FPGA中分别模拟BC与RT,在PC机指令下进行了BC与RT功能模块间的收发测试,结果表明系统能在协议规定的1 MHz数据率下稳定运行;同时,为提升接口性能,采用光纤代替传统电缆传输介
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:106496
    • 提供者:weixin_38675967
  1. 基于软件无线电的可调中频调制器的设计与实现

  2. 本文以DDS(直接数字频率合成)理论为基础,采用Altera公司推出的DSP Builder软件工具,介绍了一种基于软件无线电的可调中频调制器的设计方法,使其在硬件平台上通过拨码开关控制实现FSK、PSK、ASK三种调制方式。文中讨论了调制的一般理论,并将推导出的相关理论结果运用到仿真调试中,最后在FPGA芯片上验证了调制器的系统功能。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:90112
    • 提供者:weixin_38500090
  1. 数字差分BPSK扩频接收机的设计与FPGA实现

  2. 本文提出了一种全数字差分BPSK扩频接收机的实现方案,通过Simulink仿真验证了该方案具有较低的误码率。然后利用Verilog硬件描述语言编程,通过了综合和仿真验证,最后在Xilinx公司FPGA上实现了整个系统。该系统经过优化设计,具有易于硬件实现,占用芯片资源少等优点,对实际工程有一定的指导意义。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:98304
    • 提供者:weixin_38587705
  1. 一种抗SEU存储器电路的FPGA设计

  2. 本设计中的抗SEU存储器的设计可通过ACTEL的ProAsic系列A3P400 FPGA实现,并可使用与其配套的Liber08.5 EDA工具进行代码的编辑和原理图的绘制,并进行功能仿真与电路的综合。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:96256
    • 提供者:weixin_38610012
  1. 基于FPGA的铁轨检测算法设计与研究

  2. 本文实现基于FPGA的铁轨检测算法,首先完成OpenCV程序仿真,然后移植到FPGA构建的硬件系统中,可以成功检测出铁轨所在区域,并在一定条件下进行铁轨智能延长。研究结果表明,检测一幅分辨率为640×480图像,大约需要30 s,如果应用于实时视频流系统中,则硬件平台设计需要进行精简,以提高速度。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:304128
    • 提供者:weixin_38654220
  1. 基于FPGA的高速流水线浮点乘法器设计与实现

  2. 设计了一种支持IEEE754浮点标准的32位高速流水线结构浮点乘法器。该乘法器采用新型的基4布思算法,改进的4:2压缩结构和部分积求和电路,完成Carry Save形式的部分积压缩,再由Carry Look-ahead加法器求得乘积。时序仿真结果表明该乘法器可稳定运行在80M的频率上,并已成功运用在浮点FFT处理器中。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:416768
    • 提供者:weixin_38546817
  1. 基于FPGA的扩频测距快速捕获仿真研究

  2. 本文采用FFT代替自相关函数计算扩频系统中的码片偏移可节省硬件计算时间。经过硬件的优化设计与仿真,在Altera Straix II系列FPGA上,时钟频率达到109.1 MHz,捕获时间和计算时间大约在2μs,捕获时间提高。
  3. 所属分类:其它

    • 发布日期:2020-08-07
    • 文件大小:66560
    • 提供者:weixin_38589774
  1. FPGA设计开发软件ISE使用技巧之:编译与仿真设计工程

  2.   本节通过一个具体的实例介绍了ISE下自带仿真工具ISE Simulator的使用。ISE Simulator的特点是可以通过HDL Bencher在图形界面下编辑波形,不需要用户编写测试代码,使用方便。用户也可以在HDL Bencher下完成波形编辑生成测试代码后,调用ModelSim仿真。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:359424
    • 提供者:weixin_38687199
  1. 三态门在FPGA中的实现与仿真

  2. 三态门在数字电路上可以说是应用的非常广泛,特别是一些总线上的应用,因而,随着数字电路的发展,就避免不了用硬件描述语言在FPGA上来设计实现三态门。由于最近在玩摄像头,免不了的要写I2C协议,总线操作,就应用到了三态门,所以就在这好好总结一下三态门的设计实现及其仿真。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:115712
    • 提供者:weixin_38523728
  1. 基于FPGA的通信接口模块设计与实现

  2. 文中以FPGA为控制核心,实现了中心机控制多个远程受控模块的通信接口模块。该设计具有电路简单、协议设计灵活,逻辑结构扩展性强等优点。仿真表明,该设计能够满足通信数据传输的功能要求,目前已应用到具体设备中。
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:396288
    • 提供者:weixin_38697171
  1. 基于FPGA的串行外围接口SPI设计与实现

  2. 绍了SPI 总线的结构和工作原理,对4 种工作模式的异同进行了比较,并着重分析了SPI 总线的工作时序。利用Verilog 硬件描述语言编写出SPI 总线的主机模块,经ModelSim 仿真得出相应的仿真波形。根据仿真波形分析,所设计的SPI 主机模块的功能是正确的。最后在Xilinx ISE 中对该模块进行综合与实现,并在FPGA 上完成了下载与验证。
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:291840
    • 提供者:weixin_38623272
  1. 基于FPGA+ DSP的实时图像处理系统设计与实现

  2. 针对图像处理系统计算量大、实时性高和体积小的要求, 研制了一种以DSP为主处理器FPGA 为辅处理器的高性能实时图像处理系统。利用这两种芯片的各自特点, 将算法分成两部分分别交由FPGA 和DSP处理, 大大提高了算法的效率。系统具有结构简单易于实现和运用方便灵活的特点, 加载上相应的程序之后能实现对所获取的图像跟踪、识别和匹配等处理方法。详细说明了系统的设计思路和硬件结构, 并在硬件系统上进行了算法仿真及实验验证。实验结果表明: 该系统实时性高, 适应性好, 能够满足设计要求。
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:192512
    • 提供者:weixin_38631729
  1. 基于FPGA的音乐硬件演奏电路设计与实现(二)

  2. 该文在EDA 开发平台上,利用VHDL 语言设计数控分频器电路,采用可编程逻辑器件CPLD/FPGA,经过整体分析、模块化分析、整体与模块的仿真分析三个步骤,以乐曲《梁祝》为例,使硬件实现了整体复位、按键选择演奏方式、循环演奏以及数码管显示乐谱的功能。系统能自动从头开始循环播放,也可随时起停、、按键选择播放、循环播放播放中切换歌曲以及发光二极管动态显示播放的音符。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:418816
    • 提供者:weixin_38674627
  1. 基于FPGA的音乐硬件演奏电路设计与实现(一)

  2. 该文在EDA 开发平台上,利用VHDL 语言设计数控分频器电路,采用可编程逻辑器件CPLD/FPGA,经过整体分析、模块化分析、整体与模块的仿真分析三个步骤,以乐曲《梁祝》为例,使硬件实现了整体复位、按键选择演奏方式、循环演奏以及数码管显示乐谱的功能。系统能自动从头开始循环播放,也可随时起停、、按键选择播放、循环播放播放中切换歌曲以及发光二极管动态显示播放的音符。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:206848
    • 提供者:weixin_38518668
  1. FPGA驱动DS18b20的设计(与仿真)

  2. 彻底感受到fpga功能的强大,虽然在处理乘除法的能力上fpga能力赶不上单片机,但是fpga完全可以构造出一个能力很强大的cpu,这就需要我们开动脑筋努力创造了,往后在EDA上还是要多花些功夫才行啊,呵呵!
  3. 所属分类:其它

    • 发布日期:2020-08-25
    • 文件大小:118784
    • 提供者:weixin_38623272
  1. 基于FPGA的FIR滤波器设计与实现

  2. 采用并行分布式算法和MAC算法给出了FIR滤波器的FPGA实现。以32阶FIR滤波器的设计为例,采用Altera公司Cyclone II系列的EP2C35F672C8 FPGA作为硬件平台,通过Modelsim、Quartus II、MATLAB软件平台对设计进行了联合仿真测试分析及验证。结果显示,该设计达到了指标要求,功能正确,资源占用及处理速度均得到了优化。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:307200
    • 提供者:weixin_38675969
  1. 多码率、多码长LDPC译码器的设计与实现

  2. 针对IEEE802.16e标准,基于层译码算法(TDMP)提出了一种适用于多码率、多码长的LDPC码译码器结构。该译码器采用半并行化和流水线设计,可以在保证电路灵活性的同时提高译码吞吐量。利用Xilinx公司的ISE工具进行综合仿真,使用的FPGA芯片为Virtex4-xc4vfx12-sf363-12,最大工作频率为170.278 MHz,译码吞吐量可达到128.77 Mb/s。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:259072
    • 提供者:weixin_38655309
  1. 基于FPGA的视频叠加融合系统设计与实现

  2. 针对两通道视频图像叠加融合,设计并实现了一种实时性好、灵活性强的FPGA硬件系统。该系统可以根据实际需求进行任意比例和任意位置的视频图像叠加融合。方案经仿真验证后,运用双线性插值缩放算法、DDR2存储以及叠加融合等技术在FPGA硬件平台上实现。结果表明,该系统能达到预期效果,叠加融合画面效果良好,能够满足工程应用的需求。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:241664
    • 提供者:weixin_38602563
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 45 »