您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 异步串行通信下位机的FPGA设计与实现

  2. 本文介绍了如何使用FPGA来设计异步串行通信中的下位机,重点分析了FPGA中接收模块的设计要点,并且给出了仿真的时序图;同时给出了一种帧通信协议,介绍了微控制器软核PicoBlaze进行协议解释的处理流程。
  3. 所属分类:硬件开发

    • 发布日期:2011-10-01
    • 文件大小:326656
    • 提供者:sqqwm
  1. 基于FPGA+DSP架构的高速通信接口设计与实现

  2. 基于FPGA+DSP架构的高速通信接口设计与实现, 介绍整体的设计理念和具体的仿真的等
  3. 所属分类:硬件开发

    • 发布日期:2011-11-07
    • 文件大小:480256
    • 提供者:gwzmce
  1. 基于FPGA的卷积编译码器的设计与实现

  2. 基于FPGA的卷积编译码器的设计与实现,实验原理,编译码器结构,仿真
  3. 所属分类:专业指导

    • 发布日期:2011-12-04
    • 文件大小:205824
    • 提供者:g1119x
  1. 基于FPGA的SOPC嵌入式系统设计与典型实例5,6,7

  2. 基于FPGA的电子系统设计技术是21世纪电子应用工程师必备的技能之一,而基于FPGA的SOPC设计技术是当前电子系统设计领域最前沿的技术之一。SOPC设计具体包括以32位NiosII为核心的嵌入式系统的硬件配置、硬件设计、硬件仿真、软件设计以及软件调试等。本资料是基于FPGA的SOPC嵌入式系统设计与典型实例光盘文件设计实例5,6,7
  3. 所属分类:嵌入式

    • 发布日期:2011-12-22
    • 文件大小:38797312
    • 提供者:xingheasd123
  1. 基于FPGA 的全自动洗衣机设计

  2. 基于FPGA 的全自动洗衣机设计。洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,而全自动式洗衣机因使用方便更加得到大家的青睐。设计中的全自动洗衣机符合目前的发展趋势,具有自动化和数字化程度高、体积小及操作简单等特点,详细地介绍了将工程应用问题引入实验教学的过程; 采用EDA 技术进行全自动洗衣机的设计与仿真;采用Quartus 开发,运用原理图及V语言输入设计工功能,使得设计更直观便捷和操作灵活。
  3. 所属分类:硬件开发

    • 发布日期:2012-06-17
    • 文件大小:638976
    • 提供者:hunningtu_lq
  1. FPGA有价值的27例

  2. FPGA有价值的27例 实例 源代码 波形发生程序 URAT VHDL程序与仿真 基带码发生器程序设计与仿真
  3. 所属分类:嵌入式

    • 发布日期:2012-09-18
    • 文件大小:1048576
    • 提供者:baiseliaoya
  1. LMS自适应滤波器的FPGA实现方法

  2. 设计了自适应横向LMS滤波器和梯度自适应格型联合处理滤波 器的电路模型,并用驰豫超前技术对两类滤波器进行了流水线优化" 利用Altera公司的CyClonell系列EP2C5T144C6芯片和多种EDA工 具,完成了滤波器的FPGA硬件设计与仿真实现"并以FPGA实现的3 节梯度自适应格型联合处理器为核心,设计了一种TD一SCDMA系统的 自适应波束成形器,分析表明可以很好地利用系统提供的参考信号对 下行波束进行自适应成形"
  3. 所属分类:硬件开发

    • 发布日期:2013-05-05
    • 文件大小:1048576
    • 提供者:hlknj134
  1. 基于FPGA的中值滤波算法的设计与实现

  2. 基于FPGA的中值滤波算法的设计与实现 摘 要 在图像的采集、传输和记录等过程中,由于受到多方面因素的影响,图像信号会不可避免地受到椒盐噪声的污染,这将会严重影响图像的后期分析和识别等处理,因此有必要用中值滤波器对图像的椒盐噪声进行滤波预处理。 实际应用中,对滤波器件不仅要求能够将图像中的椒盐噪声滤除,满足图像处理的实时性要求,而且还要求能够很好地保护图像细节,避免滤波后图像变得模糊。针对传统的快速中值滤波算法在滤除图像椒盐噪声时存在图像细节模糊的缺陷,本文提出了一种基于FPGA的改进的快速中
  3. 所属分类:硬件开发

    • 发布日期:2014-05-16
    • 文件大小:2097152
    • 提供者:rokey_niu
  1. IIR滤波器的VHDL设计与仿真

  2. 采用一种基于FPGA的IIR数字滤波器的设计方案,首先分析了IIR数字滤波器的原理及设计方法,然后通过QuartusⅡ的设计平台,采用自顶向下的模块化设计思想将整个IIR数字滤波器分为:时序控制、延时、补码乘加和累加四个功能模块。分别对各模块采用VHDL进行描述后,进行了仿真和综合。
  3. 所属分类:其它

    • 发布日期:2014-12-01
    • 文件大小:3145728
    • 提供者:wangqianqianlut
  1. 基于FPGA的2FSK调制器的实现

  2. 基于硬件描述语言(VerilogHDL)和FPGA的2FSK调制器的设计与仿真,包含实验报告文档
  3. 所属分类:专业指导

    • 发布日期:2017-11-10
    • 文件大小:4194304
    • 提供者:editor_song
  1. 基于FPGA的FFT信号处理器的设计与实现

  2. 基于FPGA的FFT信号处理器的设计与实现,本文主要针对基.16顺序处理的FFT处理器的FPGA实现进行了研究,涉及算法选取、处理器结构设计、寄存器传输级(RTL)设计、系统仿真、FPGA实现和系统测试。
  3. 所属分类:硬件开发

    • 发布日期:2018-01-05
    • 文件大小:6291456
    • 提供者:qingzhe0518
  1. 关于在FPGA上建立SOPC的教程

  2. 第1章 概述 3 1.1 SOC与SOPC技术简介 3 1.1.1 SOC单片系统 3 1.1.2 SOPC及其技术 3 1.2 嵌入式系统简介 3 1.2.1 嵌入式系统的概念与组成 3 1.2.2 嵌入式系统的特点与应用 3 1.2.3 嵌入式系统的发展趋势 3 第2章 FPGA设计基础 4 2.1 Quartus II综述 4 2.1.1 软件特点 4 2.1.2 用户界面 4 2.2 Quartus II设计流程 7 2.3 流水灯的FPGA设计 8 2.4 使用嵌入式逻辑分析仪进行实
  3. 所属分类:硬件开发

    • 发布日期:2009-03-02
    • 文件大小:4194304
    • 提供者:whyliao
  1. FPGA很有价值的27实例

  2. 8.2 LED控制VHDL程序与仿真 2004.8修改.doc 8.4 ADC0809 VHDL控制程序.doc 8.7 TLC7524接口电路程序.doc 8.9 ASK调制与解调VHDL程序及仿真.doc 8.12 MASK调制VHDL程序及仿真.doc 8.15 基带码发生器程序设计与仿真.doc 8.18 电子琴程序设计与仿真 2004.8修改.doc 8.20 电子时钟VHDL程序与仿真.doc 8.22 出租车计价器VHDL程序与仿真.doc
  3. 所属分类:嵌入式

    • 发布日期:2009-03-27
    • 文件大小:1048576
    • 提供者:trcache
  1. 基于FPGACPLD器件的FIR数字滤波器设计与仿真.pdf

  2. 可编 程 逻 辑器件FPGA和CPLD正越来越多地替代ASIC和DSP器件用于实现数字信号处理算法,基于FPGA/CPLD器件的信号处理系统具有很高的实时性,可嵌入其它系统,并能方便地实现系统级集成与功能扩展。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-13
    • 文件大小:2097152
    • 提供者:zhym6339
  1. 基于TD-SCDMA终端测试仪中发射模块的功率控制算法的设计与实现

  2. TD-SCDMA终端综合测试仪是一款测量仪表,其发射模块必须要有功率控制模块来维持发射功率的稳定性以及精确性,同时还可以在一定范围内自行设置输出功率值以满足不同测量需求。 作者主要负责功率控制算法的设计与实现。从系统仿真开始,作者用SIMULINK搭建ALC闭环。其中包括A/D模块,D/A模块,Detector模块,PIN衰减器模块,电阻衰减网络模块,alc模块,Scope模块和Detector2模块的实现。用正弦信号及调幅波作为输入信号,由检波器的特性和PIN衰减管的特性分别分析A/D与D/
  3. 所属分类:其它

    • 发布日期:2009-04-24
    • 文件大小:529408
    • 提供者:wjx_nxu
  1. 一种宽带频谱分析结构优化与FPGA实现

  2. 摘要: 为实现高实时性的 1. 7 ~2. 7 GHz 频段宽带频谱分析,在传统的信道化接收机中频谱分析的 结构基础上,对抽取滤波器的多相滤波结构进行了推导,并在 Simulink 平台上对算法进行了设计与 仿真. 为平衡硬件设计中的资源消耗,对多相滤波的结构和多路并行载波的生成结构进行了优化, 最终在基于 Kintex-7 系列 FPGA 硬件平台上实现了 2. 621 44 GSPS 采样速率的信道化接收与宽带 频谱分析,实测结果与仿真进行了对比,证明了该方案的可行性,满足高实时性场景下宽
  3. 所属分类:硬件开发

    • 发布日期:2018-06-25
    • 文件大小:1048576
    • 提供者:hooknet
  1. 基于Modelsim的循环彩灯设计与仿真--.pdf

  2. 本课程设计提出基于 Modelsim 的循环彩灯的设计与分析,设计由状态机和计数器实现 彩灯的循环闪烁。本设计运用 VerilogHDL 语言在 Modelsim 软件上进行了实验程序的编译 和波形的仿真,具有代码简单易懂,功能易实现的特点,通过不断的调试和代码的多次完善, 最终实现完整的循环彩灯,在 FPGA 板级调试中成功运行达到了自主设计逻辑电路的水平。
  3. 所属分类:硬件开发

    • 发布日期:2019-06-13
    • 文件大小:1048576
    • 提供者:qq_40944242
  1. 研究论文-基于FPGA 的数字频率合成器设计与实现.pdf

  2. 直接数字频率合成器(direct digital synthesizers, DDS)是通信、雷达、仪器仪表、空间电子设备和电视等现代电子系统的心脏,其好坏直接影响电子系统的性能指标。提出了MATLAB与FPGA交互的方法,在FPGA设计与实现之前进行了电路的MATLAB仿真,用于验证整个FPGA电路的工作过程及输出结果是否满足要求;最后,在硬件上进行了实现,性能测试验证了设计的正确性和可行性。
  3. 所属分类:其它

    • 发布日期:2019-08-07
    • 文件大小:569344
    • 提供者:weixin_39840650
  1. FPGA的实例代码(很多)

  2. 频率计程序设计与仿真 LED控制VHDL程序与仿真 电子琴程序设计与仿真 URAT VHDL程序与仿真 电梯控制器程序设计与仿真 电子时钟VHDL程序与仿真 程序设计 , 仿真
  3. 所属分类:专业指导

    • 发布日期:2019-09-08
    • 文件大小:1048576
    • 提供者:drjiachen
  1. 基于FPGA的实时视频采集系统的设计与实现

  2. 实时的视频采集、存储和处理已经在各项工作和生活领域中得到广泛应用。文章介绍了基于FPGA的视频采集系统设计的总体框架,详细阐述了其中FPGA部分的设计,并进行了实际仿真,满足了实际需要,可以广泛应用于图像识别、网路视频传输和实时监控等各类图像信息处理系统。
  3. 所属分类:其它

    • 发布日期:2020-07-04
    • 文件大小:359424
    • 提供者:weixin_38698927
« 1 2 3 4 5 6 78 9 10 11 12 ... 45 »