您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 一种扩频通信调制器的FPGA 设计与仿真

  2. 扩频通信调制解调的fpga方针论文,具有很高的参考学习价值
  3. 所属分类:硬件开发

    • 发布日期:2009-05-13
    • 文件大小:227328
    • 提供者:nizainali
  1. FPGA设计入门基础超级教程

  2. FPGA设计入门基础超级教程 一、基于FPGA的设计流程和方法 所谓的 “基于FPGA的设计”---是指使用FPGA器件作为载体,借助EDA工具实现有限功能数字系统的技术过程。 实际上就是:系统功能定义具体FPGA电路实现 的若干个影射和变换的技术过程。 1、系统设计(制定系统规范)---手工完成 2、模块设计---手工完成 3、设计输入---手工完成 4、功能仿真 5、综合、优化和映射 6、布局与布线,生成编程文件 7、时序仿真---借助EDA工具手工完成 8、器件的编成和测试
  3. 所属分类:硬件开发

    • 发布日期:2009-07-28
    • 文件大小:15728640
    • 提供者:qz3168765
  1. fpga原理_设计与应用简单描述

  2. 《FPGA应用开发实战技巧精粹》着眼于实践,介绍了FPGA设计开发的大量技巧,内容包括Xilinx开发软件ISE的使用技巧、Altera开发软件Quartus的使用技巧、仿真工具ModelSim的使用技巧、综合工具Synplify的使用技巧以及设计数字电路的一般技巧。
  3. 所属分类:硬件开发

  1. 电子时钟VHDL程序与仿真

  2. 源程序 VHDL 电子时钟 1. 10进制计数器设计与仿真 (1)10进制计数器VHDL程序 --文件名:counter10.vhd。 --功能:10进制计数器,有进位C --最后修改日期:2004.3.20 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter10 is Port ( clk : in st
  3. 所属分类:嵌入式

    • 发布日期:2010-05-24
    • 文件大小:117760
    • 提供者:fengfly2006
  1. LED控制VHDL程序与仿真2

  2. LED控制VHDL程序与仿真2,对于FPGA数字电路设计的学习大有帮助。
  3. 所属分类:嵌入式

    • 发布日期:2010-10-02
    • 文件大小:34816
    • 提供者:zhongliang1020
  1. 交通灯的FPGA设计与实现(含有源程序)

  2. 可编程器件的广泛应用,为数字系统的设计带来了极大的灵活性。由于可编程器件可以通过软件编程对硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样快捷方便。由于高速发展的FPGA/CPLD兼有串、并行工作方式和高速、高可靠性的特点,在电子系统设计中得到了广泛应用。 通常使用硬件描述语言(Hardware Descr iption Lan-guage,HDL)进行数字电子系统设计。目前应用广泛的硬件描述语言有:VHDL语言, Verilog HDL语言,AHDL语言。VHDL语言由于具有
  3. 所属分类:硬件开发

    • 发布日期:2010-11-04
    • 文件大小:421888
    • 提供者:hanping_2010
  1. 基于FPGA实现的电子琴程序设计与仿真

  2. 顶层程序与仿真,音阶发生器程序与仿真,数控分频模块程序与仿真,自动演奏模块程序与仿真设计 entity top is Port ( clk32MHz :in std_logic; --32MHz系统时钟 handTOauto : in std_logic; --键盘输入/自动演奏 code1 :out std_logic_vector(6 downto 0); --音符显示信号 index1 :in std_logic_vector(7 downto 0); --键盘输入信号 high1 :o
  3. 所属分类:嵌入式

    • 发布日期:2010-12-02
    • 文件大小:108544
    • 提供者:TOMORROW_LIU
  1. DDS的FPGA设计与MATLAB仿真

  2. DDS的FPGA设计与MATLAB仿真,对多波形的设计的一种实现
  3. 所属分类:硬件开发

    • 发布日期:2011-12-17
    • 文件大小:429056
    • 提供者:kekylee
  1. 基于MATLAB与FPGA的FIR滤波器设计与仿真.pdf

  2. 基于MATLAB与FPGA的FIR滤波器设计与仿真pdf,数字滤波器是数字信号处理领域内的重要组成部分。FIR滤波器又以其严格的线性相位及稳定性高等特性被广泛应用。本文结合MATLAB工具软件介绍了FIR数字滤波器的设计方法,并在Xilinx的FPGA器件上完成设计实现。最后,使用MATLAB和ModelSim软件对数据进行了分析,证实了设计实现的正确性与可行性。
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:1048576
    • 提供者:weixin_38743737
  1. 电力线仿真系统的FPGA设计与实现.pdf

  2. 电力线仿真系统的FPGA设计与实现pdf,电力线通信设备的研发需要一种标准化的测试平台对电力线信道进行实时仿真,通过信道传输特性和各种噪声进行全面的测试和验证,而目前缺乏这样的平台。稳重对电力线信道传输特性和噪声进行了深入研究,并在此基础上提出了一种基于硬件的店里线仿真系统实现方法。
  3. 所属分类:其它

    • 发布日期:2019-09-16
    • 文件大小:750592
    • 提供者:weixin_38744153
  1. 基于FPGA的Turbo码译码器设计与实现

  2. 对Turbo码的Log-MAP译码算法进行了研究,引入滑动窗技术对Log-MAP译码算法进行了优化,并设计了适合硬件实现的流水线结构的译码器。结合3G标准规定的数据速率,对译码器和交织器进行硬件电路的设计和FPGA实现。仿真结果表明所设计的电路在译码性能和延迟方面满足实际要求,具有一定的实用价值。
  3. 所属分类:其它

    • 发布日期:2020-05-18
    • 文件大小:215040
    • 提供者:weixin_38500222
  1. 改进的TPC译码器设计与实现

  2. 为改进Turbo乘积码(TPC)硬件译码器的性能和降低实现复杂性,采用理论分析和实现仿真的方法,通过对TPC码基本编译码原理的深入分析,基于Chase2软判决译码算法的迭代译码过程的研究和仿真基础上,提出改进迭代译码过程中外部信息计算的方法,给出了其FPGA设计和实现方法.研究结果表明:使用的改进算法对编码参数为(64,57,4)的TPC码进行译码在译码迭代次数为3次、不可靠位数选择为3位时,在误比特率为10-6条件下,编码增益能达到6.8 dB.
  3. 所属分类:其它

    • 发布日期:2020-05-30
    • 文件大小:668672
    • 提供者:weixin_38711643
  1. RZI码编码器设计与实现.rar

  2. RZI码编码器设计与实现以COP2000实验仪和FPGA实验板为硬件平台,采用Xilinx foundation f3.1设计工具和COP2000仿真软件,设计实现3/16RZI码编码器,将输入的的数字序列变成3/16RZI码输出,总电路图有D触发器及其一些逻辑门电路组成,整体有两级的芯片组成,逻辑门电路和触发器等逻辑部件组成的电路将给定的输入数字序列按3/16RZI码输出的电路。3/16RZI码是输入的二进制数为一时全部为高,输入二进制数为零时有3/16为高,其余全部为低。
  3. 所属分类:其它

    • 发布日期:2020-07-11
    • 文件大小:611328
    • 提供者:u012429555
  1. 基于FPGA的RFID板级标签设计与实现

  2. 根据ISO18000-6C标准,采用EP1C6Q240FPGA以及模拟射频分立元件,经过总体设计、PCB板设计与实现、代码设计、仿真与下载,以及系统调试后,完成了基于FPGA的板级标签的软、硬件设计与实现。该系统通过测试,已能够正常工作,读写性能优异,并实现了防冲突功能。
  3. 所属分类:其它

    • 发布日期:2020-07-28
    • 文件大小:81920
    • 提供者:weixin_38674883
  1. USB OTG的IP Core设计与FPGA验证

  2. 为了实现USB设备之间的直接通信,介绍一款USB OTG IP核的设计与FPGA验证。在分析OTG补充规范的基础上,重点描述了USB OTG IP核的设计原理、模块划分以及每个模块的功能,然后对USB OTG的部分特性进行详细的阐述,最后给出该IP核在ModelSim中的功能仿真及FPGA验证结果。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:111616
    • 提供者:weixin_38733333
  1. 基于Verilog HDL的UART模块设计与仿真

  2. 摘要:通用异步收发器UART常用于微机和外设之间的数据交换,针对UART的特点,提出了一种基于Ver4log HDL的UART设计方法。采用自顶向下的设计路线,结合状态机的描述形式,使用硬件描述语言设计UART的顶层模块及各个子模块,从而使整个设计更加紧凑、可靠。同时采用参数化的设计方法,增强系统的可移植性。仿真结果表明,该系统可支持标准异步串行传输RS-232协议,可集成到FPGA芯片中使用。   随着微机应用和计算机网络的发展,计算机与外界之间的信息交换变得越来越重要,为了保证串行通信的正
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:301056
    • 提供者:weixin_38587509
  1. 蓝牙HCI-UART主控制接口的FPGA设计与实现

  2. 摘要:蓝牙技术作为一种短距离的无线通信技术,具有巨大的发展潜力,本文意从HCI层进行蓝牙技术的应用开发。本文首先介绍了HCI和UART的结构与原理,在分析和比较HCI三种类型接口USB、RS-232和UART优缺点的基础,提出了一种基于FPGA采用硬件设计HCI-UART的实现方式。本设计在Quartus II 9.0集成设计环境下,采用硬件描述语言Verilog分模块设计完成,设计经过Modelsim 6.4a仿真与验证。   1 引言   蓝牙(Bluetooth)是的计算机和通信领域大
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:196608
    • 提供者:weixin_38500222
  1. 数字基带传输系统的FPGA 设计与实现

  2. 摘要: 为了提高系统的集成度和可靠性, 降低功耗和成本, 增强系统的灵活性, 提出一种采用非常高速积体电路的硬件描述语言( VH DL 语言) 来设计数字基带传输系统的方法。详细阐述数字基带传输系统中信号码型的设计原则, 数字基带传输系统中信号编码原理和译码原理; 采用硬件描述语言来设计数字基带信号编码器和译码器并进行仿真; 采用原理图设计方法设计数字基带传输系统并仿真; 整个系统的设计在QuartusⅡ平台上完成, 并在Altera 公司的ACEX1KEP1K30TC144-1 芯片上实现。
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:52224
    • 提供者:weixin_38670529
  1. 一种高效咬尾卷积码译码器的设计与仿真

  2. 摘要:介绍了咬尾卷积码的和次译码算法的实现细节。给出了采用新的蝶形图计算方法和环形内存来节省硬件资源的实现方法,给出了次算法在FPGA上的实现结果。   0 引言   自1955年Elias发明卷积码以来,卷积码作为一种高效的信道编码已被用在许多现代通信系统中。卷积码分为零比特卷积码(Zero Tail CC,简称ZTCC)和咬尾卷积码(Tail Biting CC,简称TBCC)两种。ZTCC是指在编码的时候,码字后面要另外加上K(K为约束长度)个0将编码寄存器的状态打出,而TBCC则是直
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:366592
    • 提供者:weixin_38611388
  1. 基于FPGA的线阵CCD子图像提取模块的设计与仿真

  2. 摘要:根据线阵CCD 图像检测和识别系统的要求,分析线阵CCD 图像与子图像的位置关系,采用“图像转置缓冲区”和读写状态机的处理方式,设计基于FPGA 的线阵CCD 子图像提取模块,具有FPGA 资源占用少、逻辑清晰的特点。用MATLAB 和Modelsim 软件进行联合仿真,验证了设计的正确性。   0 引言   在工业生产自动化系统中,通过计算机视觉和图像处理技术来实现产品的质量监测和控制,已逐渐成为一种有效的应用技术。线阵CCD 图像传感器广泛地应用于产品尺寸测量和分类、非接触尺寸测量
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:152576
    • 提供者:weixin_38720461
« 1 2 3 45 6 7 8 9 10 ... 45 »