您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog-AMS语言参考手册

  2. Verilog-AMS和VHDL-AMS出现还不到4年,是一种新的标准。作为硬件行为级的建模语言,Verilog-AMS和VHDL-AMS分别是Verilog和VHDL的超集,而Verilog-A则是Verilog-AMS的一个子集。 Verilog-AMS硬件描述语言是符合IEEE 1364标准的Verilog HDL的1个子集。它覆盖了由OVI组织建议的Verilog HDL的定义和语义,目的是让数模混合信号集成电路的设计者,既能用结构描述又能用高级行为描述来创建和使用模块。所以,用Ver
  3. 所属分类:嵌入式

    • 发布日期:2018-08-17
    • 文件大小:2097152
    • 提供者:qq_14842117
  1. Writing Testbenches-Functional Verification of HDL Models

  2. 这个是用于编写测试脚本的比较详细的文档,虽然是全英文,但是慢慢细读,定能收获良多。
  3. 所属分类:硬件开发

    • 发布日期:2018-01-27
    • 文件大小:3145728
    • 提供者:wo605891789
  1. 设计与验证:Verilog HDL(带书签)

  2. 全书共分9 章,各章内容简要介绍如下。 • 第1 章:介绍HDL 的设计方法, Verilog 与VHDL 、C 等语言的区别,以及 HDL 语言的设计与验证流程。 • 第2 章:介绍Verilog 的语言基础. • 第3 章:重点介绍Verilog 的3 种描述方法和不同的设计层次. • 第4 章:介绍RTL 建模的概念和一些常用电路的Verilog 设计方法,最后引申 出Verilog 语言的可综合子集。 第5 章:总结了常用的RTL 同步设计原则,逐一介绍了设计模块的划分、设 计组合逻辑
  3. 所属分类:嵌入式

    • 发布日期:2018-09-02
    • 文件大小:14680064
    • 提供者:iszhangwd
  1. Verilog HDL电梯控制 数字系统课设

  2. 数字系统课程设计,设计一部4层电梯控制器,使用quartus ii 9.0仿真,FPGA试验箱操作.
  3. 所属分类:嵌入式

    • 发布日期:2018-09-14
    • 文件大小:20480
    • 提供者:kaaosii
  1. 硬件描述语言(英文简称: HDL )

  2. 硬件描述语言(英文: Hardware Descr iption Language ,简称: HDL )是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化( EDA )工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路 ASIC 或现场可编程门阵列 FPGA 自动布局
  3. 所属分类:讲义

    • 发布日期:2018-10-06
    • 文件大小:9437184
    • 提供者:qq_43343940
  1. 经典CORDIC算法Verilog HDL实现,带测试激励和Modelsim自动仿真文件

  2. 经典CORDIC算法Verilog HDL 16位实现,带测试激励和Modelsim自动仿真文件。在安装Modelsim仿真环境下,可直接进行仿真,显示正余弦数字波形。非常适合初学者学习研究CORIDC算法。
  3. 所属分类:硬件开发

    • 发布日期:2018-10-11
    • 文件大小:3072
    • 提供者:u010176786
  1. crc16的Verilog HDL实现

  2. crc16校验程序,仿真过。这个属于自己写的。分享给大家参考吧
  3. 所属分类:其它

    • 发布日期:2018-11-10
    • 文件大小:1024
    • 提供者:linpk12s
  1. 高速可复用SPI总线的设计与Verilog HDL实现

  2. 高速可复用SPI总线的设计,非常详尽,内容包括SPI总线的基础知识介绍,SPI的verilog实现以及仿真验证。本文的创新点在于,将shift寄存器不区分接收与发送,并且 将shift与transmit合并,直接实现串行输入输出与并行输入数据的功能,节省了一半的硬件资源。进阶版的SPI设计参考资料,分享给大家。
  3. 所属分类:讲义

    • 发布日期:2019-04-12
    • 文件大小:1048576
    • 提供者:weixin_42688970
  1. Verilog HDL Tutorial.pdf

  2. Verilog HDL简明教程 硬件描述语言(Hardware Descr iption Language,简称HDL)是硬件设计人员和电子设计 自动化(EDA)工具之间的界面。其主要目的是用来编写设计文件,建立电子系统行为级的 仿真模型。即利用计算机的巨大能力对用Verilog HDL或VHDL建模的复杂数字逻辑进行仿真, 然后再自动综合以生成符合要求且在电路结构上可以实现的数字逻辑网表(Netlist), 根据 网表和某种工艺的器件自动生成具体电路,然后生成该工艺条件下这种具体电路的延时模
  3. 所属分类:讲义

    • 发布日期:2019-05-11
    • 文件大小:991232
    • 提供者:qq_41541726
  1. Verilog+HDL+综合实用教程.pdf

  2. 本章介绍Verilog HDL语言的发展历史和它的主要能力。 1.1 什么是Verilog HDL? Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的 数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之 间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构 组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都
  3. 所属分类:硬件开发

    • 发布日期:2019-05-23
    • 文件大小:3145728
    • 提供者:drjiachen
  1. 从VHDL语言基础到VHDL仿真综合学习资料下载.rar

  2. 本文档的主要内容详细介绍的是VHDL硬件描述语言入门教程资料免费下载包括了:1.  VHDL语言基础,2. VHDL基本结构,3. VHDL语句,4. 状态机在VHDL中的实现,5. 常用电路VHDL程序,6. VHDL仿真,7. VHDL综合   HDL----Hardware Descr iption Language 一种用于描述数字电路的功能或行为的语言。目的是提为电路设计效率,缩短设计周期,减小设计成本,可在芯片制造前进行有效的仿真和错误检测。   优点: HDL设计的电路能获得非常
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:1048576
    • 提供者:weixin_39840387
  1. 基于verilog HDL的FPGA工程,对m序列进行2psk调制解调,使用了相干解调,包括了testbench文件和测试报告。

  2. 基于verilog HDL的FPGA工程,对m序列进行2psk调制解调,使用乘法器进行相干解调,包括了testbench文件,仿真视图,和测试报告。代码没有严格编写,仅供参考,仅支持quartus17.0版本,其他版本请重建IP核。
  3. 所属分类:其它

    • 发布日期:2019-03-03
    • 文件大小:51380224
    • 提供者:weixin_41830084
  1. Verilog HDL与modelism仿真实验.docx

  2. 序列检测器与数码管控制及显示实验 1、利用状态机设计一个序列检测器,序列检测器功能:将一个指定序列从数字码流中识别出来。本实验要求设计一个“1001_0101”序列的检测器。设X为数字码流的输入,Z为检测出标记输出,Z平时为低电平,一旦发现指定的序列1001_0101,则变为高电平。例如X码流为1100_1001_0101_1001....,则该序列检测器将在第12个比特位后检测到“1001_0101”,然后将Z置为高电平。 输出状态的改变可通过LED灯来指示。平时LED灯保持灭的状态,一旦检测
  3. 所属分类:讲义

    • 发布日期:2020-06-03
    • 文件大小:1048576
    • 提供者:weixin_45994228
  1. Verilog HDL报告.docx

  2. 三个仿真实验简介如下: (1)键控LED 灯仿真,通过三位key控制八个灯的亮灭,key值为多少时,即对应位的灯亮。 (2)二分频模块,实现将已知时钟频率的一半赋值给另一个时钟,即周期变为原来的二倍即可。 (3)八位计数器模块,实现计数和复位功能;通过输入可以暂停计数,恢复之后可以接着上次数据继续计数。
  3. 所属分类:讲义

    • 发布日期:2020-06-03
    • 文件大小:1048576
    • 提供者:weixin_45994228
  1. Verilog HDL设计64bits算术乘法器.rar

  2. 1.用VerilogHDL设计实现64bit二进制整数乘法器,底层乘法器使用16*16\8*8\8*32\8*16小位宽乘法器来实现,底层乘法器可以使用FPGA内部IP实现; 2.基于modelsim仿真软件对电路进行功能验证; 3.基于Quartus平台对代码进行综合及综合后仿真,芯片型号不限; 4.电路综合后的工作频率不低于50MHz。
  3. 所属分类:电信

    • 发布日期:2020-06-08
    • 文件大小:466944
    • 提供者:weixin_46195347
  1. 基于Verilog HDL设计的自动数据采集系统

  2. 绍了一种采用硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下的设计思路、verilog hdl对系统硬件的描述和状态机的设计以及max+plusii开发软件的仿真。设计结果表明:该采集系统具有很高的实用价值,极大地提高了系统的信号处理能力。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:98304
    • 提供者:weixin_38747946
  1. 基于Verilog HDL的I2C总线分析器

  2. 提出了采用 Verilog HDL设计I 2C总线分析器的方法,该 I 2C总线分析器支持三种不同的工作模式:被动、主机和从机模式,并提供了嵌入式系统设计接口。通过硬件总体框架分析,分模块输入,经过仿真、逻辑综合和 FPGA硬件验证表明,该总线分析器与其它常用接口方式相比具有低功耗、占用资源少和功能完备等特点,并较少占用微处理器指令周期,应用在医疗检测系统中解决了增加可靠扩展平台问题。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:99328
    • 提供者:weixin_38679276
  1. 基于Verilog HDL的异步FIFO设计与实现

  2. 本文提出一种新颖的异步FIFO设计方案,它通过先比较读写地址并结合象限检测法产生异步的空/满标志,再把异步的空/满标志同步到相应的时钟域。通过仿真验证,该方法是稳定有效的。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:90112
    • 提供者:weixin_38692100
  1. 基于Verilog HDL的带左转复杂交通灯设计方案

  2. 本设计中采用EDA技术,应用目前广泛应用的Verilog HDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAX+PLUS 集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:88064
    • 提供者:weixin_38506182
  1. 基于Verilog HDL的SVPWM算法的设计与仿真

  2. 空间矢量脉宽调制算法是电压型逆变器控制方面的研究热点,广泛应用于三相电力系统中。基于硬件的FPGA/CPLD芯片能满足该算法对处理速度、实时性、可靠性较高的要求,本文利用Verilog HDL实现空间矢量脉宽调制算法,设计24矢量7段式的实现方法,对转速调节和转矩调节进行仿真,验证了设计的实现结果与预期相符。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:84992
    • 提供者:weixin_38748555
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 31 »