您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 关于FPGA 的DDS的激励程序设计(Verilog HDL)

  2. 关于FPGA的DDS设计的激励程序,使用Verilog HDL语言编写,用于源程序的仿真。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-01
    • 文件大小:747
    • 提供者:limotan
  1. 中文版Verilog HDL简明教程..chm

  2.  Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。   Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间
  3. 所属分类:嵌入式

    • 发布日期:2010-05-10
    • 文件大小:88064
    • 提供者:fsy0215
  1. verilog hdl 电梯控制程序

  2. Verilog HDL是一种在广泛的抽象层次设定说明数字系统的硬件描述语言,它支持早期的行为级抽象设计概念,以及后期结构级抽象设计的实现。在设计过程中,进行逻辑结构设计部分时可以将行为结构和层次化结构混合起来。本文采用Verilog HDL来设计电梯控制器,其代码具有良好的可读性和易理解性,源程序经quartusII9.0软件平台的综合和仿真.
  3. 所属分类:嵌入式

    • 发布日期:2010-07-14
    • 文件大小:17408
    • 提供者:zhh0205
  1. verilog HDL经典程序实例135例

  2. Verilog HDL程序设计教程》程序例子,带说明。【例 3.1】4 位全加器 【例 3.2】4 位计数器【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序【例 3.5】“与-或-非”门电路【例 5.1】用 case语句描述的 4 选 1 数据选择器【例 5.2】同步置数、同步清零的计数器【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值【例 5.5】用 begin-end 串行块产生信号波形【例 5.6】用 fork-join 并行块产生信号波形【
  3. 所属分类:嵌入式

    • 发布日期:2010-07-23
    • 文件大小:158720
    • 提供者:do622
  1. 基于Verilog hdl的FPGA设计与工程应用_源代码

  2. “第2章示例”目录: 例2-1.v————————书中例2-1的Verilog源代码; 例2-2.v————————书中例2-2的Verilog源代码; 例2-3.v————————书中例2-3的Verilog源代码; 例2-4.v————————书中例2-4的Verilog源代码; 例2-5.v————————书中例2-5的Verilog源代码; “function”示例.v——书中关键字“function”示例的Verilog源代码; “task”示例.v————书中关键字“task”示例
  3. 所属分类:iOS

    • 发布日期:2010-09-03
    • 文件大小:9437184
    • 提供者:mixwill3
  1. 基于Verilog HDL设计的多功能数字钟

  2. 本文利用Verilog HDL 语言自顶向下的设计方法设计多功能数字钟,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Altera QuartusⅡ 4.1 和ModelSim SE 6.0 完成综合、仿真。此程序通过下载到FPGA 芯片后,可应用于实际的数字钟显示中。
  3. 所属分类:嵌入式

    • 发布日期:2011-02-22
    • 文件大小:124928
    • 提供者:zhlyz2003
  1. Verilog-HDL实践与应用系统设计

  2. Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例列举了Verilog-HDL的用法;在后四章,以应用系统为例详细讲解了系统设计的全过程。书中的全部例子都给出了仿真结果,其源代码都在本书所附的CD-ROM中,并均经过验证无误。 本书的前半部分特别适合于初学者,也可作为工程技术人员的参考内容。后半部分很适合工程开发和研究人员参考。本书除了介绍Verilog-HDL
  3. 所属分类:嵌入式

    • 发布日期:2011-02-22
    • 文件大小:14680064
    • 提供者:zhlyz2003
  1. 王金明:《Verilog HDL程序设计教程》135例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:130048
    • 提供者:zhlyz2003
  1. Simulink BlackBox HDL RAM初始化

  2. Simulink 中使用BlackBox 生成HDL代码及其RAM初始化联合仿真。http://blog.csdn.net/McCrocodile/archive/2011/03/29/6286313.aspx
  3. 所属分类:硬件开发

    • 发布日期:2011-03-31
    • 文件大小:3145728
    • 提供者:McCrocodile
  1. verilog仿真入门级教程.pdf

  2. verilog仿真入门级教程 A Verilog HDL Test Bench Primer
  3. 所属分类:硬件开发

    • 发布日期:2011-04-18
    • 文件大小:66560
    • 提供者:tuqiaolin
  1. 用Verilog HDL语言设计程序,将一晶振分频和计数

  2. 将一晶振分频然后用于计数,设计一个计数器,该分频和计数模块已在Quartus II上仿真验证过了,功能正确,自己再编写一下数7段码管程序即可在开发板上进行实际验证了,很多书上有7段码管程序...
  3. 所属分类:硬件开发

    • 发布日期:2011-05-07
    • 文件大小:896
    • 提供者:linyg1494
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. Verilog HDL实用教程

  2. 本书讲述了自20世纪90年代开始在美国和其他先进的工业化国家逐步推广的利用硬件描述语言(Verilog HDL)建模、仿真和综合的设计复杂数字逻辑电路与系统的方法和技术。书中内容从算法和计算的基本概念出发,讲述如何由硬线逻辑电路来实现复杂数字逻辑系统的方法按照书上的步骤,可以使大学电子类及计算机工程类本科及研究生,以及相关领域的设计工程人员在半年内掌握Verilog HDL设计技术。 本书可作为电子工程类、自动控制类、计算机类的大学本科高年级及研究生教学用书,亦可供其他工程人员自学与参考。
  3. 所属分类:其它

    • 发布日期:2011-07-12
    • 文件大小:8388608
    • 提供者:iceman_ak47
  1. VHDL & Verilog HDL 简明教程

  2. 我们了解一下什么是硬件描述语言以及数字系统设计中的一些基本概念。在 设计中,FPGA、CPLD 等可编程器件得到了越来越多的应用,其一是因为这些器件可以在其 中实现许多分立元器件实现的功能,这样就缩小了电路板的面积;其二,这些器件的可编程 使得设计可以随时变更,而不需要重新布线制板。当我们的设计验证通过之后,如果需要大 批量生产时候,我们可以把可编程器件中的设计交给半导体厂商进行流片,这样可以大大降 低生产成本,如果设计的芯片有较好的通用性,我们还可以去出售自己设计的芯片了。EDA (Elec
  3. 所属分类:嵌入式

    • 发布日期:2011-08-10
    • 文件大小:276480
    • 提供者:yiweiguo
  1. Verilog HDL设计设计练习进阶

  2. 我们可以先理解样板模块中每一条语句的作用,然后对样板模块进行综合前和综合后仿真,再独立完成每一阶段规定的练习。当十个阶段的练习做完后,便可以开始设计一些简单的逻辑电路和系统
  3. 所属分类:嵌入式

    • 发布日期:2011-08-11
    • 文件大小:215040
    • 提供者:maocess
  1. HDL硬件描述语言

  2. HDL硬件描述语言,在于电路仿真是很有用的。
  3. 所属分类:专业指导

    • 发布日期:2011-12-02
    • 文件大小:15728640
    • 提供者:kongsuxinghen
  1. 基于Verilog+HDL的FIR数字滤波器设计与仿真

  2. 基于Verilog+HDL的FIR数字滤波器设计与仿真
  3. 所属分类:专业指导

    • 发布日期:2012-04-21
    • 文件大小:234496
    • 提供者:begins_zhen
  1. HDL用户使用手册

  2. HDL的使用手册。 包括工程、原理图、仿真的使用说明。
  3. 所属分类:嵌入式

    • 发布日期:2012-10-25
    • 文件大小:4194304
    • 提供者:binbinwb
  1. Active-HDL使用教程——仿真篇

  2. Active-HDL使用教程——仿真篇
  3. 所属分类:其它

    • 发布日期:2012-12-09
    • 文件大小:52224
    • 提供者:coolfootball
  1. ALU设计 用Verilog HDL

  2. 用Verilog HDL设计一个模块,该模块实现了一个4bit的ALU,可以对两个4bit二进制操作数进行算术运算和逻辑运算   算术运算包括加法与减法   逻辑运算包括与运算、或运算   设计一个模块,利用Verilog HDL模块元件实例化的能力来调用4bit ALU的模块,从而将两个4bit ALU扩展为一个8bit ALU(详见原理框图)   用提供的4bit ALU测试模块对所实现的4 bit ALU进行仿真测试   用提供的8bit ALU测试模块对所实现的8 bit ALU进行仿
  3. 所属分类:C/C++

    • 发布日期:2013-04-16
    • 文件大小:2048
    • 提供者:u010312201
« 1 23 4 5 6 7 8 9 10 ... 31 »