您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 给予CPLD曼彻斯特编码器与译码器的设计

  2. 基于此评论的曼彻斯特编码器与译码器的设计,给用的上的朋友
  3. 所属分类:专业指导

    • 发布日期:2009-10-06
    • 文件大小:186368
    • 提供者:h7524285
  1. 数控G代码译码器(英文)

  2. 数控G代码译码器介绍含格式、各种代码解释等等,英文
  3. 所属分类:其它

    • 发布日期:2009-10-08
    • 文件大小:1048576
    • 提供者:dongbl2000
  1. VHDL 七段译码器

  2. 利用Vhdl语言编的七段译码器的程序,多谢下载
  3. 所属分类:其它

    • 发布日期:2009-10-09
    • 文件大小:29696
    • 提供者:tangliang0417
  1. 数码管4—7译码器,显示0到9等10个数字

  2. 数码管译码器,显示0到9等10个数字,用VERILOG编写
  3. 所属分类:专业指导

    • 发布日期:2009-10-11
    • 文件大小:293
    • 提供者:hlftqqi
  1. 译码器及74HC138中文资料

  2. 译码器也称解码器,译码过程实际上是一种翻译过程,即编码的逆过程。译码器的输入是n位二值代码,输出是m个表征代码原意的状态信号(或另一种代码)。一般情况下有m小于等于2的n次方,即译码器输入线比输出线要少。译码器按其功能可分为三大类:
  3. 所属分类:专业指导

    • 发布日期:2009-10-15
    • 文件大小:80896
    • 提供者:liuxiaolong2009
  1. 基于Verilog HDL设计线性分组编译码器[1]

  2. Verilog HDL设计海明码的编译码器,很实用!
  3. 所属分类:专业指导

    • 发布日期:2009-11-24
    • 文件大小:208896
    • 提供者:zzff_2009
  1. 开放式CPU设计 实验程序 实验CPU:指令译码器

  2. 开放式CPU设计 实验程序 实验CPU:指令译码器 所有程序均编译测试通过 请放心下载
  3. 所属分类:专业指导

    • 发布日期:2009-12-02
    • 文件大小:141312
    • 提供者:w405924507
  1. 哈夫曼编译码器 数据结构课程设计

  2. 哈夫曼编译码器 是我的 数据结构课程设计 c++语言编写 用vc6编写 是源文件
  3. 所属分类:C++

    • 发布日期:2009-12-05
    • 文件大小:723968
    • 提供者:zhangting123
  1. hdl程序的设计:7段译码器

  2. hdl程序的设计:7段译码器----------------------------------------------------------------------------------- // DEscr iptION : BIN to seven segments converter // segment encoding // a // +---+ // f | | b // +---+ <- g // e | | c // +---+ // d // Enable (EN)
  3. 所属分类:C

    • 发布日期:2009-12-07
    • 文件大小:2048
    • 提供者:wenting_wei
  1. 三八译码器-38译码器原理图-74ls138译码器.mht

  2. 三八译码器-38译码器原理图-74ls138译码器.mht
  3. 所属分类:其它

    • 发布日期:2009-12-18
    • 文件大小:60416
    • 提供者:xueselanhu
  1. VHDL3-8译码器好好好好好学习

  2. VHDL语言3-8译码器3-8译码器3-8译码器3-8译码器3-8译码器3-8译码器
  3. 所属分类:其它

    • 发布日期:2009-12-21
    • 文件大小:131072
    • 提供者:lhmibtf
  1. VHDL的编码器和译码器的设计.doc

  2. VHDL的编码器和译码器的设计.doc VHDL的编码器和译码器的设计.doc VHDL的编码器和译码器的设计.doc VHDL的编码器和译码器的设计.doc VHDL的编码器和译码器的设计.doc
  3. 所属分类:专业指导

    • 发布日期:2009-12-28
    • 文件大小:586752
    • 提供者:kingxiaokang
  1. ewb实验(编码器和译码器的ewb实验)

  2. ewb实验(编码器和译码器的ewb实验),完整的ewb仿真实验,有报告,有实验实例。。。
  3. 所属分类:嵌入式

    • 发布日期:2009-12-30
    • 文件大小:249856
    • 提供者:nicholas8709
  1. VHDL语言编写的十进制计数器和七段译码器

  2. VHDL语言编写的十进制计数器和七段译码器,下来就知道了
  3. 所属分类:其它

    • 发布日期:2010-01-11
    • 文件大小:515
    • 提供者:ruisheng536
  1. 8-3编码器和3-8译码器的设计

  2. 有一个8-3编码器和一个3-8译码器,使用VHDL语言编写在MUX PLUS2上实现的
  3. 所属分类:专业指导

    • 发布日期:2010-01-20
    • 文件大小:58368
    • 提供者:vc96887853
  1. 74ls48译码器中文资料

  2. 七段译码器 静态性能 动态性能 功能简要说明PDF
  3. 所属分类:其它

    • 发布日期:2010-01-24
    • 文件大小:273408
    • 提供者:chenyong_good
  1. [毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc

  2. [毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc[毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc[毕业设计]基于计数器和译码器的双色三循环方式彩灯控制器设计.doc
  3. 所属分类:专业指导

    • 发布日期:2010-01-28
    • 文件大小:238592
    • 提供者:gaga198649
  1. DPCM译码器的设计与仿真——使用MATLAB设计

  2. 本设计使用MATLAB采用m文件,实现对DPCM译码器的设计与仿真。为了调试和验证DPCM译码器的性能,根据DPCM的原理,在本程序设计中,设计了单独的DPCM发送端来产生差分脉冲信号。DPCM的发送端由信号发生器、抽样器、量化编码器和预测器四个组件组成。预测器的预测算法是整个DPCM的核心部分,算法越合理,误差就越小,恢复出来的波形就越接近于原来的波形,性能也就越好。最后接收端将量化编码的差分信号逆量化,还原成为信号幅度值,再通过一系列与发送端相反的逆运算将波形还原到与原信号波形相似的波形,
  3. 所属分类:其它

    • 发布日期:2010-02-01
    • 文件大小:619520
    • 提供者:my1277867070
  1. 7段数码管译码器设计与实现

  2. 7段数码管译码器设计与实现,过程很详细,包括原理和程序,电路图
  3. 所属分类:专业指导

    • 发布日期:2010-02-07
    • 文件大小:56320
    • 提供者:feiyinzilgd
  1. 基于VCP的DSP维特比译码器的设计与实现.pdf

  2. 基于VCP的DSP维特比译码器的设计与实现
  3. 所属分类:硬件开发

    • 发布日期:2010-03-03
    • 文件大小:437248
    • 提供者:stuartliuhao
« 1 23 4 5 6 7 8 9 10 ... 50 »