您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 74LS154译码器

  2. 一个关于74LS154译码器的PDF资料,中文资料,有助于需要的下载
  3. 所属分类:专业指导

    • 发布日期:2010-03-13
    • 文件大小:51200
    • 提供者:kerryxiaoya
  1. VHDL实验段数码管译码器设计与实现

  2. 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果在数码管上显示。结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:1024
    • 提供者:woshishuiaabbb
  1. 数据结构课程设计C语言实现的Huffman 编码译码器

  2. 数据结构课程设计要求实现的Huffman 编码译码器,里面包含实验报告;
  3. 所属分类:C

    • 发布日期:2010-04-11
    • 文件大小:108544
    • 提供者:qichaobbs
  1. 数电实验关于译码器的应用

  2. 译码器的应用,关于译码器在与非,或非门和选择气的应用。1.利用74139译码器实现异或门电路。 2.利用74139译码器实现3输入多数表决器
  3. 所属分类:专业指导

    • 发布日期:2010-04-12
    • 文件大小:371712
    • 提供者:htt0324
  1. 实验七 译码器及其应用

  2. 、实验目的 1. 掌握3 -8线译码器、4 -10线译码器的逻辑功能和使用方法。 2. 掌握用两片3 -8线译码器连成4 -16线译码器的方法。 3. 掌握使用74LS138实现逻辑函数和做数据分配器的方法。
  3. 所属分类:专业指导

    • 发布日期:2010-04-12
    • 文件大小:1048576
    • 提供者:htt0324
  1. C语言写的哈夫曼树编译码器

  2. 数据结构课的选做题,哈夫曼树编译码器源代码 输入字符串,得到哈夫曼编码并输出,同时输出经哈夫曼译码器还原的源字符串以示对照。
  3. 所属分类:C

    • 发布日期:2010-05-01
    • 文件大小:5120
    • 提供者:moonlight_sun
  1. 哈夫曼编/译码器 数据结构

  2. 哈夫曼编/译码器源代码及实习报告,C语言实现,数据结构(C语言版)课程用
  3. 所属分类:C

    • 发布日期:2010-05-09
    • 文件大小:123904
    • 提供者:perfectsai
  1. 38译码器简单的程序供大家参考

  2. 38译码器,书上写的我多见过最简单的一个38译码器,提供给大家参考。
  3. 所属分类:专业指导

    • 发布日期:2010-05-12
    • 文件大小:97
    • 提供者:zhimeizhu
  1. 74HC138译码器

  2. 英文版3-8译码器低电平有效,欢迎下载。
  3. 所属分类:专业指导

    • 发布日期:2010-05-18
    • 文件大小:51200
    • 提供者:kelvin_2010
  1. 数据结构课程设计_赫夫曼编译码器

  2. 数据结构课程设计_赫夫曼编译码器。利用哈夫曼编码进行通信可以大大提高信道利用率,缩短信息传输时间,降低传输成本。但是,这要求在发送端通过一个编码系统对待传数据预先编码,在接收端将传来的数据进行译码(复原)。对于双工信道(即可以双向传输信息的信道),每端都需要一个完整的编/译码系统。试为这样的信息收发站写一个哈夫曼码的编/译码系统。
  3. 所属分类:其它

    • 发布日期:2010-05-18
    • 文件大小:44032
    • 提供者:max617617
  1. eetop.cn_基于FPGA实现Viterbi译码器IPCore的技术研究

  2. eetop.cn_基于FPGA实现Viterbi译码器IPCore的技术研究
  3. 所属分类:硬件开发

    • 发布日期:2010-05-31
    • 文件大小:312320
    • 提供者:qinaidenihao
  1. 3线8线译码器基于VHDL语言编写

  2. 3线8线译码器基于VHDL语言编写 3线8线译码器基于VHDL语言编写
  3. 所属分类:其它

    • 发布日期:2010-06-04
    • 文件大小:116736
    • 提供者:swp0314
  1. systerm view实现7-4汉明码编码译码器

  2. systerm view软件实现的7-4汉明码编码译码器。
  3. 所属分类:专业指导

    • 发布日期:2010-06-09
    • 文件大小:3072
    • 提供者:AMY1024
  1. EDA fpga3-8译码器

  2. EDA fpga3-8译码器EDA fpga3-8译码器
  3. 所属分类:硬件开发

    • 发布日期:2010-06-23
    • 文件大小:279552
    • 提供者:heqiuya
  1. 数据结构 哈夫曼编译码器 数据结构 哈夫曼编译码器 数据结构 哈夫曼编译码器

  2. 数据结构 哈夫曼编译码器 数据结构 哈夫曼编译码器 数据结构 哈夫曼编译码器
  3. 所属分类:其它

    • 发布日期:2010-06-24
    • 文件大小:190
    • 提供者:benbenkubile
  1. 在MAX+PLUS II中,采用LPM图元设计一个4-16译码器,采用基本门电路设计一个一位的全加器

  2. 1.在图形编辑器中采用LPM图元设计一个4-16译码器,以decoder16.gdf命名保存。将器件设定为EPM7128LC84-6。输入D、C、B、A绑定到10,11,12,13管脚,输出Y0….Y15按顺序绑定到60至75管脚。进行波形仿真,验证功能正确。分析节点A到节点y15的最短延时。 2.在图形编辑器中,采用基本门电路设计一个一位的全加器,以FADDER.gdf命名保存。器件设定为EPM7128LC84-6。输入Ain、Bin、Cin(进位输入)分别绑定到Pin21、22、23,输出
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:64512
    • 提供者:bi_qianyu
  1. 在MAX+PLUS II中,设计一个半加器和或门、4-16译码器和4位向量乘法器

  2. 1.利用文本编辑器和VHDL语言设计一个半加器和或门,将其定义成Symbol图元,在图形编辑器中利用这些Symbol将其设计成一个全加器。下载到CPLD芯片中,接入输入电平信号和输出LED显示器,通电验证并抄写其真值表。 2.利用VHDL语言设计一个4-16译码器,下载后实现。 3.利用VHDL语言设计一个4位向量乘法器,下载后实现。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:363520
    • 提供者:bi_qianyu
  1. 电子技术基础 数据选择器和译码器

  2. 电子技术基础 数据选择器和译码器 电子技术基础实验课
  3. 所属分类:专业指导

    • 发布日期:2010-07-15
    • 文件大小:1048576
    • 提供者:fuji116116
  1. BCD对七段显示器译码器的实验报告

  2. 利Quartus II 7.2软件设计和仿真 七段显示译码器,包含实现的程序代码,和功能仿真图。实验目的,内容,步骤,等!
  3. 所属分类:嵌入式

    • 发布日期:2010-09-05
    • 文件大小:130048
    • 提供者:huhehaote315
  1. 2-4译码器设计报告

  2. 2-4译码器设计报告 利用QuartusII软件功能进行仿真分析,含实验目的,内容,步骤,等!
  3. 所属分类:嵌入式

    • 发布日期:2010-09-05
    • 文件大小:345088
    • 提供者:huhehaote315
« 1 2 34 5 6 7 8 9 10 ... 50 »