您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数码管译码器

  2. 常用的BCD 对七段显示器译码器/驱动器之IC 包装计有TTL 之7446、7447、7448、7449 与CMOS 之4511 等等。其中7446、7447 必须使用共阳极七段显示器,7448、7449、4511等则使用共阴极七段显示器。
  3. 所属分类:硬件开发

    • 发布日期:2014-09-25
    • 文件大小:166912
    • 提供者:zyzfy
  1. 光栅编码器、译码器、传感器Q9864(QEDS-9864) HEDS9700

  2. 国产750写真机光栅编码译码器,和AGILENT Q9864-57 类似的AGILENT HEDS9700,可做参考
  3. 所属分类:制造

    • 发布日期:2014-11-09
    • 文件大小:292864
    • 提供者:silno
  1. 译码器

  2. 就是译码器的ppt
  3. 所属分类:讲义

    • 发布日期:2014-11-14
    • 文件大小:3145728
    • 提供者:qq_16594271
  1. XLinux ISE basys2 3-8译码器源码 FPGA

  2. 基于XLinux LSE 在 basys2 开发板上实现的3-8译码器的源码,适合新手了解,找这个的人一般都了解的,我就不多说了。本人在开发板上已经通过,真值表也验证过了,烧入后直接可以使用。最终解释权归个人所有。
  3. 所属分类:硬件开发

    • 发布日期:2014-11-15
    • 文件大小:100352
    • 提供者:abc582915847
  1. verilog 3:8译码器

  2. 嵌入式系统 关于3:8译码器用Verilog语言实现功能 利用quartus软件
  3. 所属分类:嵌入式

    • 发布日期:2014-11-23
    • 文件大小:1024
    • 提供者:violet1127123
  1. 数字钟设计与译码器应用

  2. 数字钟设计与译码器应用11111111111111111111111111111111111111111111111111111111111111111111
  3. 所属分类:专业指导

    • 发布日期:2008-10-29
    • 文件大小:169984
    • 提供者:c635013778
  1. 基于potues译码器

  2. 基于potues译码器
  3. 所属分类:讲义

    • 发布日期:2014-12-14
    • 文件大小:49152
    • 提供者:qq_24276421
  1. 74LS138译码器应用

  2. 74LS138译码器应用程序代码
  3. 所属分类:专业指导

    • 发布日期:2015-01-16
    • 文件大小:742
    • 提供者:qq_25367197
  1. 38译码器电路连接

  2. 38译码器电路连接编程与电路连接的比较,电路连接使用了max软件
  3. 所属分类:系统集成

  1. 三八译码器、verilog源程序

  2. 1、本程序模仿3/8译码器的功能 2、由SW1、SW2、SW3分别对应三位二进制。 SW3 SW2 SW1 : 对应二极管 0 0 0 : DD1 0 0 1 : DD2 0 1 0 : DD3 0 1 1 : DD4 1 0 0 : DD5 1 0 1 : DD6 1 1 0 : DD7 1 1 1 : DD8
  3. 所属分类:硬件开发

    • 发布日期:2015-04-10
    • 文件大小:63488
    • 提供者:qq_27282649
  1. 卷积编码及基于DSP的Viterbi译码器设计 caj格式

  2. 卷积编码及基于DSP的Viterbi译码器设计论文,北方工业大学硕士毕业论文 caj格式
  3. 所属分类:电信

    • 发布日期:2015-04-16
    • 文件大小:4194304
    • 提供者:u013025528
  1. 单片机74LS138(3-8译码器)中文资料.pdf

  2. 单片机74LS138(3-8译码器)的中文资料.pdf 74系列
  3. 所属分类:硬件开发

    • 发布日期:2008-11-11
    • 文件大小:245760
    • 提供者:kevyoung
  1. 赫夫曼译码器

  2. 用C语言实现的简单赫夫曼译码器。包括赫夫曼树的建立、遍历和译码等。
  3. 所属分类:C

    • 发布日期:2015-09-07
    • 文件大小:7168
    • 提供者:zznini1210
  1. 74ls138译码器

  2. 74ls138译码器 主要解决存储器与cpu的连接图
  3. 所属分类:专业指导

    • 发布日期:2008-11-17
    • 文件大小:35840
    • 提供者:wuxl031
  1. 三八译码器

  2. 三八译码器的VHDl
  3. 所属分类:嵌入式

    • 发布日期:2016-12-25
    • 文件大小:121856
    • 提供者:tonygsw
  1. verilog实现通过拨码开关控制数码管显示,模仿3-8译码器

  2. 用verilog模拟3-8译码器实现拨码开关控制控制数码管显示
  3. 所属分类:硬件开发

    • 发布日期:2017-05-26
    • 文件大小:282624
    • 提供者:qq_36402402
  1. 赫夫曼编码译码器数据结构课程设计

  2. 赫夫曼编码译码器数据结构课程设计
  3. 所属分类:数据库

    • 发布日期:2017-07-02
    • 文件大小:887808
    • 提供者:u012102104
  1. EDA七段译码器实验报告

  2. 本资源是EDA七段译码器实验报告,非常详细阿!
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:504832
    • 提供者:xujianpu
  1. 数据结构试验\哈夫曼编译码器

  2. 数据结构上机实验,哈夫曼编译码器。用c语言编写的直接就能使用,要是怕到时交作业的时候有一样的,请自己再改一下
  3. 所属分类:C

    • 发布日期:2008-12-25
    • 文件大小:185344
    • 提供者:msxiaochao
  1. VHDL语言实现3-8译码器

  2. 用VHDL语言实现3-8译码器,在不同情况下进行不同译码
  3. 所属分类:其它

    • 发布日期:2009-01-02
    • 文件大小:131072
    • 提供者:yangaichao
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »