您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vhdl 4位加法器和3-8译码器

  2. vhdl 4位加法器和3-8译码器 合成及
  3. 所属分类:硬件开发

    • 发布日期:2011-11-09
    • 文件大小:563200
    • 提供者:mumataotao
  1. 74LS154译码器的应用

  2. 该文档介绍了74LS154译码器的应用,是一个很好的参考资料
  3. 所属分类:C

    • 发布日期:2011-11-21
    • 文件大小:176128
    • 提供者:hasomeboy
  1. 一般计数器和译码器的设计

  2. VHDL与EDA的完整实验,一般计数器和译码器的设计,完整的实验过程,实验程序,实验波形以及实验心得。
  3. 所属分类:其它

    • 发布日期:2011-11-24
    • 文件大小:214016
    • 提供者:lj923
  1. 哈夫曼编码译码器源代码和报告

  2. 这是我自己写的哈夫曼编码译码器的代码和报告,有需要和兴趣的可以看看,属于初学数据结构的人的材料,资深写程序的可以忽略。
  3. 所属分类:C++

    • 发布日期:2011-11-25
    • 文件大小:106496
    • 提供者:liliqiing
  1. verilog 3—8译码器

  2. verilog 编写的 3—8译码器程序代码
  3. 所属分类:硬件开发

    • 发布日期:2011-11-28
    • 文件大小:1024
    • 提供者:bbwl123
  1. 三八译码器

  2. VHDL三八译码器,讲解详细,教得很好,图文并茂
  3. 所属分类:其它

    • 发布日期:2011-11-29
    • 文件大小:676864
    • 提供者:gaga12345654321
  1. 哈夫曼编码译码器+(数据结构课程设计)

  2. 这是一个小组做的关于哈夫曼编码译码器的课程设计,包括PPT,源代码,还有两个成员做的课程设计报告、任务书
  3. 所属分类:数据库

    • 发布日期:2011-12-01
    • 文件大小:218112
    • 提供者:chenshuiyang
  1. 基于FPGA的BCH译码器的实现

  2. 详细介绍了BCH 的编译码方法以及实现,所实现的编译码其能对BCH进行正确的编码和译码。还给出了Quarts软件平台下的仿真结果以及该编译码器的实际应用结果。
  3. 所属分类:专业指导

    • 发布日期:2011-12-03
    • 文件大小:262144
    • 提供者:sweets6488
  1. EDA六位十六进制可逆计数器和七段译码器

  2. 用MaxPlusII实现的六位可逆十六进制可逆计数器和七段译码器,在altera的芯片上亲测可用,用MaxPlusII打开顶层设计图以后,直接下载到芯片上就可以运行了。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-10
    • 文件大小:394240
    • 提供者:woshidashabiab
  1. 7448作为译码器进行七段数码管的显示

  2. 作为译码器的7448用来进行七段数码管的显示
  3. 所属分类:专业指导

    • 发布日期:2011-12-19
    • 文件大小:118784
    • 提供者:gushaofeng
  1. 哈夫曼编码译码器实验报告(内附源代码)

  2. 哈夫曼编码译码器实验报告,内有源代码,vc++6.0写的
  3. 所属分类:C/C++

    • 发布日期:2011-12-27
    • 文件大小:431104
    • 提供者:iver99
  1. 74Ls138译码器原理

  2. 74Ls138译码器原理
  3. 所属分类:专业指导

    • 发布日期:2011-12-28
    • 文件大小:83968
    • 提供者:dalilxp
  1. 哈夫曼编码译码器

  2. 哈夫曼编译码器 是在Dev c++上实现的,包括了hafuman树的建立以及编码
  3. 所属分类:C/C++

    • 发布日期:2012-01-04
    • 文件大小:6144
    • 提供者:wodewangbo
  1. 哈夫曼编/译码器

  2. 文档是关于数据结构的课程设计——哈夫曼编/译码器,附有源码
  3. 所属分类:C/C++

    • 发布日期:2012-03-08
    • 文件大小:100352
    • 提供者:ji_nan
  1. EDA编码器和译码器的设计

  2. 拥有完整的源文件,基于EDA译码器和编码器的设计
  3. 所属分类:硬件开发

    • 发布日期:2012-03-15
    • 文件大小:321536
    • 提供者:jialeheyeshu
  1. RS(204,188)译码器的设计 verilog

  2. RS(204,188) 译码器 设计 verilog 仿真功能实现
  3. 所属分类:硬件开发

    • 发布日期:2012-03-18
    • 文件大小:14336
    • 提供者:mengwei113
  1. 3/8译码器芯片资料

  2. 3/8译码器,译码器是将输入的具有特定含义的二进制代码翻译成输出信号的不同组合,实现电路控制功能的逻辑电路
  3. 所属分类:专业指导

    • 发布日期:2012-03-21
    • 文件大小:74752
    • 提供者:weidayesyes
  1. 七段译码器的设计实验

  2. 用verilog语言写的七段译码器的实验,尽管代码挺简洁的,但用处很大,对学习数字逻辑电路的同学很有帮助。
  3. 所属分类:专业指导

    • 发布日期:2012-03-31
    • 文件大小:308224
    • 提供者:ba12346
  1. 卷积码编译码器的研究与实现

  2. 卷积码编译码器的研究与实现
  3. 所属分类:专业指导

    • 发布日期:2012-04-06
    • 文件大小:110592
    • 提供者:wriyjs
  1. 准循环LDPC译码器的FPGA实现

  2. 准循环LDPC译码器的FPGA实现,专业学术论文,比较前沿
  3. 所属分类:专业指导

    • 发布日期:2012-04-20
    • 文件大小:591872
    • 提供者:dongxian123
« 1 2 3 4 5 67 8 9 10 11 ... 50 »