您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 3线-8线译码器.ms12

  2. 3线-8线译码器.ms12
  3. 所属分类:软件测试

    • 发布日期:2020-05-25
    • 文件大小:154624
    • 提供者:weixin_48086457
  1. 5G-LDPC码编译码器设计与FPGA实现技术研究.pdf

  2. 5G-LDPC码编译码器设计与FPGA实现技术研究,根据5G LDPC 码校验矩阵的结构特性,结合常用编码算法中的单对角校验矩阵编码方法和双对角校验矩阵编码方法,设计了一种针对5G LDPC 码的双对角加单对角校验矩阵编码方法;
  3. 所属分类:电信

    • 发布日期:2020-06-04
    • 文件大小:2097152
    • 提供者:wuze2009032075
  1. proteus8.6:译码器74LS138和门电路设计一个全加器

  2. proteus8.6:译码器74LS138和门电路设计一个全加器 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。
  3. 所属分类:嵌入式

    • 发布日期:2020-06-01
    • 文件大小:7168
    • 提供者:weixin_43965339
  1. 改进的TPC译码器设计与实现

  2. 为改进Turbo乘积码(TPC)硬件译码器的性能和降低实现复杂性,采用理论分析和实现仿真的方法,通过对TPC码基本编译码原理的深入分析,基于Chase2软判决译码算法的迭代译码过程的研究和仿真基础上,提出改进迭代译码过程中外部信息计算的方法,给出了其FPGA设计和实现方法.研究结果表明:使用的改进算法对编码参数为(64,57,4)的TPC码进行译码在译码迭代次数为3次、不可靠位数选择为3位时,在误比特率为10-6条件下,编码增益能达到6.8 dB.
  3. 所属分类:其它

    • 发布日期:2020-05-30
    • 文件大小:668672
    • 提供者:weixin_38711643
  1. 哈夫曼编码译码器实验报告.rar

  2. 哈夫曼编码译码器实验报告.rar
  3. 所属分类:MacOS

    • 发布日期:2020-06-19
    • 文件大小:171008
    • 提供者:beau_lily
  1. Verilog设计3-8译码器、8位全加器、四分之一分频器.zip

  2. 集成电路作业,Verilog设计3-8译码器、8位全加器、四分之一分频器(时钟周期clk=50ns),内含相对应的测试代码。
  3. 所属分类:C/C++

    • 发布日期:2020-06-16
    • 文件大小:4096
    • 提供者:llory
  1. 2-4译码器.ms10

  2. 利用Multisim10设置一个2-4译码器,只用非门和三输入与门;利用Multisim10设置一个2-4译码器,只用非门和三输入与门;利用Multisim10设置一个2-4译码器,只用非门和三输入与门
  3. 所属分类:教育

    • 发布日期:2020-06-23
    • 文件大小:86016
    • 提供者:NoHairNoHair
  1. 卷积码编译码器matlab仿真验证.rar

  2. 213卷积码编译码器matlab验证 文件里面主要有: 213卷积码编译码的基础波形生成文件 213卷积码的性能验证文件——有无卷积码编译码的信道误码率对比;不同码率的信道误码率对比;不同约束长度的信道误码率对比;维特比译码不同约束长度的信道误码率对比 信道验证用的是BPSK调制解调,还有一个BPSK调制解调信道的理论值验证 matlab的使用版本是2017a,因为产生的数据随机,而且验证的信噪比跨度和原始数据都很大,所以要多编译几次才能得到比较理想的曲线,里面我也保存了我觉得比较合格的波形图供
  3. 所属分类:专业指导

    • 发布日期:2020-06-22
    • 文件大小:394240
    • 提供者:xiangziling
  1. 共阳极七段发光二极管的二-十进制译码器

  2. “共阳极七段发光二极管的二-十进制译码器”建模与仿真,vivado2014.2工程,可直接使用,包含仿真,设计。
  3. 所属分类:Linux

    • 发布日期:2020-07-02
    • 文件大小:75776
    • 提供者:m0_37676069
  1. 138译码怎么用,138译码器的作用

  2. 本文主要讲了138译码器的作用,下面一起来学习一下
  3. 所属分类:其它

    • 发布日期:2020-07-15
    • 文件大小:41984
    • 提供者:weixin_38587005
  1. 138译码器工作原理

  2. 本文主要讲了138译码器工作原理,希望对你的学习有所帮助。
  3. 所属分类:其它

    • 发布日期:2020-07-15
    • 文件大小:30720
    • 提供者:weixin_38681286
  1. 138译码器控制数码管

  2. 本文主要讲了138译码器控制数码管,希望对你的学习有所帮助。
  3. 所属分类:其它

    • 发布日期:2020-07-15
    • 文件大小:94208
    • 提供者:weixin_38638312
  1. 74ls138译码器真值表

  2. 本文主要为74ls138译码器真值表,下面一起来学习一下
  3. 所属分类:其它

    • 发布日期:2020-07-15
    • 文件大小:57344
    • 提供者:weixin_38705699
  1. 单片机74HC138译码器应用原理图及真值表

  2. 本文主要为单片机74HC138译码器应用原理图及真值表,希望对你的学习有所帮助。
  3. 所属分类:其它

    • 发布日期:2020-07-15
    • 文件大小:293888
    • 提供者:weixin_38739744
  1. 玩转Altera FPGA:3-8译码器实验

  2. 本文主要讲了3-8译码器实验,下面一起来学习一下
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:68608
    • 提供者:weixin_38667835
  1. 显示译码器设计的步骤、技巧以及案例分析

  2.  显示译码器是数字电子技术组合逻辑电路中一个很重要的器件,在数字电子技术应用中不可缺少,特别是在信息技术数字化的今天,其应用越来越广泛,但在组织开展科技创新和电子设计制作竞赛活动中,学生在设计制作抢答器、记分器、记时器等电子产品时,总是对如何准确设计出符合功能要求的显示译码器胸中无数,本文对此问题进行了分析与研究。
  3. 所属分类:其它

    • 发布日期:2020-07-23
    • 文件大小:68608
    • 提供者:weixin_38580759
  1. 我与FPGA的恋爱之Case语句验证4-16译码器

  2. 本文章是用Case语句验证4-16译码器。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:33792
    • 提供者:weixin_38532629
  1. 菜鸟初入FPGA之Case语句验证4-16译码器

  2. 本文章是关于FPGA之Case语句验证4-16译码器。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:33792
    • 提供者:weixin_38724663
  1. 213卷积码编译码器实现例程.rar

  2. 这个是我自己写的例程,调试环境是CCSv8.3 一共有三个工程文件,将文件夹复制到软件的工作文件夹里面就可以正常调试了 程序只做过仿真调试运行,模拟输入方法是读取dat文件到内存,再将内存区域的输出数组导出到dat文件里面。 程序是213卷积码的编译码器设计,三个工程文件夹一个是编码器一个是译码器,最后一个编译码的程序都有,可以整体观察编译码过程的数据变化。
  3. 所属分类:C

    • 发布日期:2020-07-20
    • 文件大小:176128
    • 提供者:xiangziling
  1. 单片机译码器的作用和工作原理

  2. 问:单片机里的译码器是如何工作的?寻址空间是如何确定的?译码器与寻址空间是怎么联系的?
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:30720
    • 提供者:weixin_38711369
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »