您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 译码器74HC138中文资料 免费下载

  2. 译码器74HC138中文资料 免费下载
  3. 所属分类:C

    • 发布日期:2012-04-28
    • 文件大小:152576
    • 提供者:zhaoxinghua06
  1. 赫夫曼编译码器

  2. 赫夫曼编译码器,用于数据结构:掌握如何建立哈夫曼树。以及如何用利用带权哈夫曼树对字符进行编码,译码。
  3. 所属分类:C

    • 发布日期:2012-05-09
    • 文件大小:76800
    • 提供者:marshaldyoung
  1. 4-16译码器程序

  2. vhdl语言描述的,4线-16线译码器的完整程序
  3. 所属分类:其它

    • 发布日期:2012-05-27
    • 文件大小:13312
    • 提供者:ada_ya
  1. NAND+Flash控制器的BCH编译码器设计

  2. NAND+Flash控制器的BCH编译码器设计,
  3. 所属分类:硬件开发

    • 发布日期:2012-05-30
    • 文件大小:146432
    • 提供者:aking5258
  1. 基于simulink的PCM编译码器设计及应用.doc

  2. 基于simulink的PCM编译码器设计及应用
  3. 所属分类:专业指导

    • 发布日期:2012-06-01
    • 文件大小:317440
    • 提供者:paopao09082104
  1. 通用译码器—VHDL

  2. 通用译码器 decoder VHDL语言
  3. 所属分类:其它

    • 发布日期:2012-06-15
    • 文件大小:1024
    • 提供者:ssongmu
  1. 3-8译码器verilog程序

  2. 介绍3-8译码器的xilinx ise编译过程及verilog代码
  3. 所属分类:硬件开发

    • 发布日期:2012-07-23
    • 文件大小:29696
    • 提供者:yehu566
  1. 基于可编程逻辑的七段数码显示译码器的设计

  2. EDA 可编程逻辑 七段数码显示译码器
  3. 所属分类:其它

    • 发布日期:2012-09-12
    • 文件大小:252928
    • 提供者:fdxsweet
  1. vhdl中7段数码显示译码器设计

  2. vhdl中7段数码显示译码器设计实用程序,简洁明了
  3. 所属分类:其它

    • 发布日期:2012-09-24
    • 文件大小:105472
    • 提供者:zhy2214
  1. 译码器VHDL

  2. 数字电路设计实验用VHDL语言实现的单数码管译码器
  3. 所属分类:专业指导

    • 发布日期:2012-10-11
    • 文件大小:1024
    • 提供者:lucst
  1. 译码器 74HC138中文资料

  2. 38译码器是常用的芯片,提供给大家,欢迎下载,谢谢
  3. 所属分类:硬件开发

    • 发布日期:2012-10-17
    • 文件大小:209920
    • 提供者:jebbxia
  1. 4—7 10进制译码器

  2. 这个是一个我自己编写的最简单的译码器,如有需要请下载,谢谢
  3. 所属分类:其它

    • 发布日期:2012-10-27
    • 文件大小:690
    • 提供者:wx_bupt
  1. 文件译码器

  2. 一个文件译码器,对加密的文件进行译码。魔王语言进阶版
  3. 所属分类:C#

    • 发布日期:2012-10-30
    • 文件大小:13312
    • 提供者:a77542870
  1. 魔王语言翻译器及译码器

  2. 魔王语言翻译器及译码器
  3. 所属分类:C/C++

    • 发布日期:2012-11-12
    • 文件大小:34816
    • 提供者:wangkaiwkwkwkwk
  1. 赫夫曼编码译码器

  2. 这是一款赫夫曼编码译码器,可通过用户输入的字符,自动生成编码表,并使得到的编码是最短的编码,并能通过生成的规则来翻译用户输入的赫夫曼编码。
  3. 所属分类:C/C++

    • 发布日期:2012-11-27
    • 文件大小:10240
    • 提供者:l450507961
  1. 译码器c语言

  2. /**************************************************************************** * 138译码器实验 * * * * 实验目的: 1. 了解138译码器工作原理 * * 2. 学会用C语言对138译码器简单的编程控制 * * * * 实验现象: LED灯每隔一段时间循环点亮 * * 接线方法: J15连JP1(参考图片连接) * *********************************************
  3. 所属分类:C/C++

    • 发布日期:2012-11-28
    • 文件大小:2048
    • 提供者:five50
  1. C语言 哈夫曼编译码器

  2. 用C语言写的哈夫曼编译码器,做课设可以参考一下。
  3. 所属分类:C

    • 发布日期:2012-12-13
    • 文件大小:7168
    • 提供者:jaycody
  1. PCM编译码器系统

  2. JH5001通信原理PCM编译码器系统的实验,PCM编译码器系统。
  3. 所属分类:网络管理

    • 发布日期:2012-12-27
    • 文件大小:1048576
    • 提供者:duck251
  1. 74hc138译码器英文资料

  2. 74hc138译码器英文资料,老片子新用。
  3. 所属分类:其它

    • 发布日期:2013-01-22
    • 文件大小:109568
    • 提供者:wutianhome
  1. 74hc138 三八译码器

  2. 三八译码器资料说明 74hc138 相关资料说明 很不错的常用的资料
  3. 所属分类:硬件开发

    • 发布日期:2013-02-17
    • 文件大小:144384
    • 提供者:yanhuomili2
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »