您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog HDL简明教程.doc

  2. Verilog HDL语言最初是于1983年由Gateway Design Automation公司为其模拟器产品开发的硬件建模语言。那时它只是一种专用语言。由于他们的模拟、仿真器产品的广泛使用,Verilog HDL 作为一种便于使用且实用的语言逐渐为众多设计者所接受。在一次努力增加语言普及性的活动中,Verilog HDL语言于1990年被推向公众领域。 Open Verilog International (OVI)是促进Verilog发展的国际性组织。1992年, OVI决定致力于推广
  3. 所属分类:嵌入式

    • 发布日期:2009-05-13
    • 文件大小:268288
    • 提供者:hometownjlu
  1. 基于VerilogHDL的FIR数字滤波器设计与仿真

  2. 本文主要分析了FIR 数字滤波器的基本结构和硬件构成特点, 简要介绍了FIR 滤波器实现的方式优缺点; 结Altera 公司的Stratix 系列产品的特点, 以一个基于MAC 的8阶FIR 数字滤波器的设计为例, 给出了使用Verilog 硬件描述语言进行数字逻辑设计的过程和方法, 并且QuartusII 的集成开发环境下编写HDL 代码, 进行综合;QuartusII内部的仿真器对设计做脉冲响应仿真和验证。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-18
    • 文件大小:133120
    • 提供者:xchust2006
  1. verilog HDL 等精度频率计

  2. 本人初学者刚完成用verilog HDL 编写的等精度频率计,用Quartus II 8.1 编译仿真通过,愿与大家共同学习。
  3. 所属分类:嵌入式

    • 发布日期:2009-07-08
    • 文件大小:468992
    • 提供者:shifeiaoyun
  1. Verilog HDL程序设计教程

  2. Verilog HDL程序设计教程 ,很好的FPGA教程; 第1章、EDA技术综述 2、EDA设计软件与设计流程 3、VERILOG HDL设计初步 4、VERILOG HDL语言要素 5、VERILOG HDL行为语句 6、进程、任务与函数 7、VERILOG HDL的描述风格 8、仿真 9、VERILOG HDL设计进阶 10、设计方法与设计技巧的探讨 11、VERILOG HDL综合设计实践 12、算法与复杂逻辑的实现 13、EDA软件使用指南 附录A B
  3. 所属分类:硬件开发

    • 发布日期:2009-07-20
    • 文件大小:11534336
    • 提供者:viphotman
  1. Debussy 仿真快速上手教程.doc

  2. Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。 可能您会觉的:只要有simulator如ModelSim就可以做debug了,我何必再学这套软体呢? 其实Debussy v5.0以后的新
  3. 所属分类:嵌入式

    • 发布日期:2009-08-11
    • 文件大小:441344
    • 提供者:shareone
  1. modelsim 仿真设计教程 verilog HDL的辅助工具

  2. 对学习verilog HDL的帮助有很大的作用,希望能给学习FPGA的朋友带来一些帮助。好东西一起来用哦!
  3. 所属分类:嵌入式

    • 发布日期:2009-09-02
    • 文件大小:583680
    • 提供者:super818liu
  1. Verilog HDL硬件描述语言

  2. Verilog HDL硬件描述语言 Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的 数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之 间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构 组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模 语言。此外, Verilog HDL语言提供了编程
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:9437184
    • 提供者:lzflxq
  1. 基于Verilog HDL语言的带左转复杂交通灯设计

  2. 本设计选用了目前应用较为广泛的Verilog HDL硬件描述语言,实现对路口交通灯系统的控制器的硬件电路描述。这种硬件电路描述在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译,仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。
  3. 所属分类:交通

    • 发布日期:2009-11-30
    • 文件大小:332800
    • 提供者:dgchen942768
  1. testbench (Verilog)

  2. 书名:testbench preliminary 内容:描述硬件描述语言仿真的方法 语言:中文 格式:pdf 页数:13
  3. 所属分类:嵌入式

    • 发布日期:2009-12-11
    • 文件大小:88064
    • 提供者:kemi450
  1. 精通 Verilog HDL:IC 设计核心技术实例详解 part2(total4)

  2. 本书从实际应用的角度详细地向读者介绍了Verilog HDL语言的使用,并利用实例深入剖析了Verilog HDL语法在实际应用中的要点,结构清晰,内容丰富。    全书共分为9章。前7章分别介绍了设计方法概论,Verilog HDL的语法,行为建模,同步设计,异步设计,功能性单元,I2C Slave设计。第8章为微处理器设计,第9章为JPEG Encoder设计。这两章通过两个完整的设计实例,为读者详述了设计概念,深入分析了电路设计的前因后果。    为了方便读者学习,本书所附的实例程序都利
  3. 所属分类:嵌入式

    • 发布日期:2010-01-21
    • 文件大小:14680064
    • 提供者:sznbman
  1. 精通Verilog HDL:IC设计核心技术实例详解part3(total4)

  2. 本书从实际应用的角度详细地向读者介绍了Verilog HDL语言的使用,并利用实例深入剖析了Verilog HDL语法在实际应用中的要点,结构清晰,内容丰富。    全书共分为9章。前7章分别介绍了设计方法概论,Verilog HDL的语法,行为建模,同步设计,异步设计,功能性单元,I2C Slave设计。第8章为微处理器设计,第9章为JPEG Encoder设计。这两章通过两个完整的设计实例,为读者详述了设计概念,深入分析了电路设计的前因后果。    为了方便读者学习,本书所附的实例程序都利
  3. 所属分类:嵌入式

    • 发布日期:2010-01-21
    • 文件大小:14680064
    • 提供者:sznbman
  1. Verilog HDL程序设计教程

  2. Verilog HDL程序设计教程。 全面介绍Verilog HDL语句、语法、词法,可综合程序的编写,仿真程序的编写等。。。
  3. 所属分类:嵌入式

    • 发布日期:2010-03-22
    • 文件大小:11534336
    • 提供者:bbn0209
  1. 基于的Verilog HDLDDS设计与仿真

  2. 详细 阐~-t'l用 QuartusII实现 DDS(直接数 字频 率合成 器)模块 的方法和 步骤 。首 先分析 DDS的设计原 理 ,并 对其进行 系统建模,利用Verilog HDL实现设计并在开发环境下进行功能仿真,选用现场可编程器件 FPGA作为目标器件, 得到可以重构的 IP核,其可以很方便地实现复杂的调频、调相和调幅功能。利用该方法实现的 DDS模块具有更广泛的实际 意义和更良好 的实用性 。
  3. 所属分类:嵌入式

    • 发布日期:2010-03-27
    • 文件大小:214016
    • 提供者:bingjay123
  1. 清华大学verilog hdl逻辑仿真ppt.7z

  2. 清华大学verilog hdl逻辑仿真ppt.7z
  3. 所属分类:嵌入式

    • 发布日期:2010-03-29
    • 文件大小:90112
    • 提供者:samsho2
  1. MODELSIM仿真

  2. 第8章 使用ModelSim进行设计仿真 ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但推荐大家只对一种语言仿真。ModelSim常见的版本分为ModelSim XE和ModelSim SE两种,ModelSim版本更新很快,目前最新版本为5.8版本,该版本支持VHDL的2002标准以及Verilog的2001标准,此外,在该版本的Linux、HP和SUN工作站等平
  3. 所属分类:嵌入式

    • 发布日期:2010-09-24
    • 文件大小:411648
    • 提供者:easonduan
  1. 使用ModelSim进行设计仿真(这个是比较经典的入门资料

  2. ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但推荐大家只对一种语言仿真
  3. 所属分类:嵌入式

    • 发布日期:2008-07-01
    • 文件大小:297984
    • 提供者:mystar1984
  1. Verilog HDL仿真之仿真数据的生成

  2. 主要介绍Verilog HDL语言仿真时仿真数据的产生方法。具有一定参考价值,适合初学者。
  3. 所属分类:专业指导

    • 发布日期:2012-08-10
    • 文件大小:25600
    • 提供者:changhongtanke
  1. (熟读专家系列)《ModelSim电子系统分析及仿真》

  2. 《ModelSim电子系统分析及仿真》主要内容简介:ModelSim是优秀的HDL仿真软件之一,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。《ModelSim电子系统分析及仿真》以ModelSim 6.1f版软件为例,由浅入深、循序渐进地
  3. 所属分类:硬件开发

    • 发布日期:2013-08-15
    • 文件大小:48234496
    • 提供者:u011708448
  1. 验证:一种通过WebSocket与HDL仿真通信的协议-源码

  2. 验证 通过WebSocket与HDL模拟通信的协议 背景 所有芯片设计不可避免地都有某种输入和输出到被测设备之外的世界。 可以以不同的方式为不同的目的对该I / O进行建模。 对于回归测试,这通常采取预定义刺激的形式发送到设备中,并监视输出以确保设备完成了预期的工作。 但是,在其他情况下,则需要与仿真设计进行交互通信。 例如,这可以是运行用户程序的SoC的仿真,其中用户希望通过仿真的UART连接发送命令,并通过观察设备输出的变化来查看程序的行为是否符合预期。 这对模拟设计增加了更多要求,尤其是在
  3. 所属分类:其它

    • 发布日期:2021-02-28
    • 文件大小:2048
    • 提供者:weixin_42178688
  1. HDL仿真器基于事件的仿真算法

  2. 目前,HDL仿真器主要有三种实现算法(机制):基于时间的算法(Time-Based)、基于事件的算法(Event-Based,EBS)和基于周期的算法(Cycle-Based,CBS)   基于时间的算法适合处理连续的时间及变量,其会在每一个时间点对所有的电路元件进行计算。但是,在大部分情况下,每一个时间点只有约2%~10%的电路处于活动(运行)状态,所以该算法效率非常低。   基于事件的算法适合处理离散的时间、状态和变量。该算法只有在电路状态发生变化时才进行处理,只仿真
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:97280
    • 提供者:weixin_38659812
« 12 3 4 5 6 7 8 9 10 ... 31 »