您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 4位快速加法器设计.zip

  2. 利用前一步设计好的四位先行进位电路构造四位快速加法器,其引脚定义如图所示,其中 X,Y 为四位相加数,Cin 为进位输入,S 为和数输出,Cout 为进位输出,G,P 为 4 位成组进位生成函数和成组进位传递函数
  3. 所属分类:互联网

    • 发布日期:2020-06-01
    • 文件大小:48128
    • 提供者:qq_45772158
  1. 第4关:16位快速加法器设计.txt

  2. 第4关:16位快速加法器设计.txt
  3. 所属分类:其它

    • 发布日期:2020-06-05
    • 文件大小:652288
    • 提供者:m0_47399957
  1. 寄存器加法器.docx

  2. 含时序仿真、代码、RTL网表 掌握VHDL描述时序电路的方法,学会用VHDL设计4位寄存器加法器。同时电路应支持无符号数,其中复位是异步复位。要求写出VHDL代码,并导出RTL网表。最后通过时序仿真为A,B赋不同的值,以检验电路的正确,同时加深对时序电路的认识。
  3. 所属分类:其它

    • 发布日期:2020-06-18
    • 文件大小:189440
    • 提供者:gyhboo
  1. 模55加法器_信号发生器_序列检测器.docx

  2. Verilog作业,模55加法器_信号发生器(fsm)_序列检测器(fsm),包括设计代码,测试代码,仿真波形
  3. 所属分类:电信

    • 发布日期:2020-06-24
    • 文件大小:252928
    • 提供者:weixin_44712154
  1. 加法器内部电路原理

  2.   加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:195584
    • 提供者:weixin_38696339
  1. 加法器、乘法器等运算电路

  2. 加法器、乘法器运算电路的相关知识介绍,比较详细,适合初学者,加法器包括超前进位加法器、曼彻斯特加法器、款位加法器、进位旁路加法器、进位选择加法器等,乘法器由树形乘法器等
  3. 所属分类:嵌入式

    • 发布日期:2020-07-14
    • 文件大小:5242880
    • 提供者:qq_41196674
  1. 加法器+移相器.jpg

  2. 只是对网上知识的一点总结,图片大多来自网络 本文对移相器和加法器从简单到复杂 包含了,信号加法器,信号移相的两大部分 具体的话,有一点模拟电路的基础最好 能够更好的理解 然后,还有的话就是,自己维权意识比较薄弱 如果有用到某位作者的图片 请联系,我将撤回 但是本文仅供学习
  3. 所属分类:电信

    • 发布日期:2020-07-19
    • 文件大小:110592
    • 提供者:weixin_45145961
  1. 加法器是什么?加法器电路原理

  2. 加法器 : 加法器是为了实现加法的。 即是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。 对于1位......
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:62464
    • 提供者:weixin_38686542
  1. 使用仪表放大器的高性能加法器

  2. 本文主要介绍如何使用仪表放大器的高性能加法器,感兴趣的朋友可以看看。
  3. 所属分类:其它

    • 发布日期:2020-07-28
    • 文件大小:45056
    • 提供者:weixin_38735119
  1. 单精度浮点加法器的FPGA实现

  2. 在FPGA上实现单精度浮点加法器的设计,通过分析实数的IEEE 754表示形式和IEEE 754单精度浮点的存储格式,设计出一种适合在FPGA上实现单精度浮点加法运算的算法处理流程,依据此算法处理流程划分的各个处理模块便于流水设计的实现。所以这里所介绍的单精度浮点加法器具有很强的运算处理能力。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:67584
    • 提供者:weixin_38633576
  1. 通用加法器(741、1458)电路图

  2. 本文给大家分享了通用加法器(741、1458)电路图。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:16384
    • 提供者:weixin_38639471
  1. 一个32bit加法器和逻辑门实现2个并行的16bit加法器

  2. 今天看到群里面的几位要找工作的小朋友在晒笔试题,其中有一道是如何用32bit的加法器和逻辑门实现两个并行的16bit加法器。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:56320
    • 提供者:weixin_38588854
  1. 高速数字串行加法器及其应用

  2. 与传统加法器相比,数字串行加法器具有工作频率高、占用资源少、设计灵活等优点。介绍了数字串行加法器的原理,说明了该加法器在FPGA上的实现要点及其在匹配滤波器设计中的应用。
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:220160
    • 提供者:weixin_38613154
  1. 超前进位4位加法器74LS283的VHDL程序实现

  2. 由于串行多位加法器的高位相加时要等待低位的进位,所以速度受到进位信号的限制而变慢,人们又设计了一种多位数超前进位加法器逻辑电路,使每位求和结果直接接受加数和被加数而不必等待地位进位,而与低位的进位信号无关,这就大大的提高了运算速度。现在简单介绍超前进位的运算方法,以及VHDL可编程逻辑编程。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:167936
    • 提供者:weixin_38672840
  1. 加法器和乘法器简介及设计

  2. 大多数数字功能可分为:数据通道、储存器、控制单元、I/O。加法器和乘法器属于数据通道部分。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:57344
    • 提供者:weixin_38674883
  1. 电源技术中的加法器电路原理图解

  2. 在计数体制中,通常用的是十进制,它有0,1,2,3,…,9十个数码,用它们来组成一个数。但在数字电路中,为了把电路的两个状态(1态和0态)和数码对应起来,采用二进制较为方便,二进制只有0和1两个数码。         二进制加法器是数字电路的基本部件之一。二进制加法运算同逻辑加法运算的含义是不同的。前者是数的运算,而后者表示逻辑关系。二进制加法是“逢二进一”,即1+1=10,而逻辑加则为1+1=1。     1、半加器     所谓“半加”,就是只求本位的和,暂不管低
  3. 所属分类:其它

    • 发布日期:2020-10-15
    • 文件大小:136192
    • 提供者:weixin_38549721
  1. 运算放大器组成加法器电路图

  2. 运算放大器组成加法器电路图,图中所示是用通用I型F004运放组成的加法器.加法器是指输出信号是几个输入信号之和的放大器,它分为倒
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:121856
    • 提供者:weixin_38717574
  1. 基于流水线加法器的数字相关器设计

  2. 数字相关器在数字扩频通信系统中应用广泛,受数字信号处理器件速度限制,无法应用于高速宽带通信系统,在此提出了一种基于流水线加法器的数字相关处理算法。该算法最大限度地减少了加法器进位操作,解决了基于全加器型数字相关器存在的进位延迟过大的问题,实现了时分多址体制下的同步段数字相关,提高了同步段相关的可靠性。
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:200704
    • 提供者:weixin_38622475
  1. 元器件应用中的基于流水线加法器的数字相关器设计

  2. 0引言   数字相关器是扩频通信体制下数字中频接收机核心部件之一,在数字扩频通信系统中应用广泛,但由于受数字信号处理器件速度限制,无法应用于高速宽带通信系统。其中一个重要原因是高位数的加法器进位延迟过大,使得在一个采样时钟节拍内无法完成一次累加运算,而导致相关运算错误。随着FPGA技术的快速发展,器件速度的不断提升,这一问题一定程度得到改善,但仍然无法满足高位数扩频码、高采样速率和大动态范围的数字相关器的工程实现,因此必须采用优化算法最大限度地减少加法器进位操作,从而降低电路延迟对数字相关处理
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:158720
    • 提供者:weixin_38552871
  1. 16位多级先行进位加法器

  2. 有测试文件,代码准确无错。为提高运算速度,可以参照超前进位加法器的设计思路,把16位加法器中的每四位作为一组,用位间快速进位的形成方法来实现16位加法器中的“组间快速进位”,就能得到16位快速加法器。其工作特点是组内并行、组间并行。设16位加法器,4位一组,分为4组:
  3. 所属分类:硬件开发

    • 发布日期:2020-11-03
    • 文件大小:167936
    • 提供者:qq_45861449
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »