您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多位加法器(带累加功能)

  2. 功能实现:“1015+1016+1017+...+1115” 101个数的累加(1s/次) 数码管显示结果,结果为1015、2031、3048、4066... 加法器模块:完成24bit的加法运算 记数器模块:完成1015———1115这101个数的记数 数码管显示模块:实时显示累加结果
  3. 所属分类:其它

    • 发布日期:2012-12-12
    • 文件大小:442368
    • 提供者:yoo00123
  1. 4位超前进位加法器

  2. 利用超前进位实现的4位加法器 加快了进位传递的速率
  3. 所属分类:专业指导

    • 发布日期:2012-12-12
    • 文件大小:560
    • 提供者:sqqklmyt
  1. 32位浮点数加法器verilog

  2. 32位浮点数加法器 也算是减法器 其中32位浮点数用的是IEEE 754标准表示的 根据别人的改写的 有问题欢迎大家指出 信号定义不是很完整 verilog编写的
  3. 所属分类:嵌入式

    • 发布日期:2012-12-14
    • 文件大小:4096
    • 提供者:chabu
  1. VHDL加法器

  2. 用VHDL语言设计的加法器.
  3. 所属分类:专业指导

    • 发布日期:2012-12-23
    • 文件大小:32768
    • 提供者:crg870527
  1. 加法器实验报告

  2. 加法器实验报告: 1、学会使用FPGA新片编程模拟程序运行。 2、掌握QuartusⅡ软件环境下简单Verilog文本等输入设计方法。 3、熟悉Verilog设计实体的基本结构、语言要素、设计流程等。
  3. 所属分类:其它

    • 发布日期:2012-12-24
    • 文件大小:278528
    • 提供者:wljuanniaoyuhua
  1. 32位加法器 verilog代码

  2. 32位加法器 verilog代码 其中还包含全加器、四位加法器的代码
  3. 所属分类:专业指导

    • 发布日期:2012-12-29
    • 文件大小:4096
    • 提供者:sujubyi
  1. verilog写的8位加法器

  2. verilog写的8位加法器,测试可用,完整程序,立马验证
  3. 所属分类:其它

    • 发布日期:2013-01-06
    • 文件大小:1004544
    • 提供者:lhlhit163
  1. 比例加法器MULTISIM10文件

  2. 用MULTISIM10仿真软件绘制的比例加法器文件
  3. 所属分类:专业指导

    • 发布日期:2013-02-16
    • 文件大小:89088
    • 提供者:joe199003
  1. 层次化4位加法器设计 VHDL

  2. 层次化4位加法器设计的报告适合学习EDA和VHDL的同学
  3. 所属分类:专业指导

    • 发布日期:2013-02-16
    • 文件大小:380928
    • 提供者:joe199003
  1. window api 开发的一个简单的小加法器

  2. 基于windows api开发的一个简单的小加法器 功能比较单一 望大家指教
  3. 所属分类:C++

    • 发布日期:2013-03-21
    • 文件大小:14680064
    • 提供者:lsjlmsky
  1. 线程加法器

  2. 线程加法器,应用线程实现加发累加。
  3. 所属分类:C#

    • 发布日期:2013-04-09
    • 文件大小:51200
    • 提供者:hewusheng10
  1. 加法器MFC实现

  2. MFC实现的加法器小软件。实现了两个数相加的功能!
  3. 所属分类:C++

    • 发布日期:2013-04-22
    • 文件大小:2097152
    • 提供者:q379551842
  1. FPGA实现四位加法器代码

  2. 用FPGA实现四位加法器,代码正确,无需改动
  3. 所属分类:嵌入式

    • 发布日期:2013-04-26
    • 文件大小:132
    • 提供者:u010402930
  1. VHDL加法器

  2. 由VHDL语言编写的代码,有逐级进位和超前进位加法器的对比,有仿真波形(xilinx);
  3. 所属分类:其它

    • 发布日期:2013-05-05
    • 文件大小:300032
    • 提供者:jingmoxiao
  1. 大学EDA实验四位加法器和八位加法器

  2. 大学EDA实验,四位二进制加法器和八位二进制加法器
  3. 所属分类:硬件开发

    • 发布日期:2013-05-28
    • 文件大小:358400
    • 提供者:lzluyinke
  1. VHDL 十进制加法器

  2. 带进位的十进制加法器,VHDL语言编写,可直接应用,可进行仿真。
  3. 所属分类:嵌入式

    • 发布日期:2008-09-11
    • 文件大小:509
    • 提供者:hnu_tianming
  1. 加法器vhdl设计

  2. 加法器vhdl设计
  3. 所属分类:嵌入式

    • 发布日期:2013-06-06
    • 文件大小:281600
    • 提供者:u010972142
  1. 加法器计算

  2. 这是一个简单的加法器,有兴趣的同学可以下载看看。。是很简单的。
  3. 所属分类:IT管理

    • 发布日期:2013-07-05
    • 文件大小:1048576
    • 提供者:u011291148
  1. 四位超进位加法器设计

  2. 关于一个 四位超进位加法器 的设计报告
  3. 所属分类:C/C++

    • 发布日期:2013-07-15
    • 文件大小:1048576
    • 提供者:u011409031
  1. 十进制加法器的设计

  2. EDA课程设计,设计了一个十进制加法器,内容包括加法器的原理,电路原理图以及仿真结果图
  3. 所属分类:软件测试

    • 发布日期:2013-07-24
    • 文件大小:138240
    • 提供者:gangchengxuezi
« 1 2 3 4 56 7 8 9 10 ... 50 »