您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字时钟课程设计,用到振荡器;分频器;计数器;译码器;显示管等仪器,要的来下。

  2. 数字时钟课程设计,用到振荡器;分频器;计数器;译码器;显示管等仪器,要的来下。
  3. 所属分类:专业指导

    • 发布日期:2009-05-05
    • 文件大小:536576
    • 提供者:ysamj
  1. 基于VHDL的编码器和译码器的设计

  2. 编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。课程设计结构简单,使用方便,具有一定的应用价值。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-10
    • 文件大小:586752
    • 提供者:qqatt070901
  1. 计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等……

  2. 计算机硬件描述语言(eda)实验报告,包括状态机,计数器,3-8译码器,lp-rom的应用等代码
  3. 所属分类:专业指导

    • 发布日期:2009-05-11
    • 文件大小:574464
    • 提供者:yanglong196
  1. 编码器与译码器与译码器

  2. 编码器与译码器与译码器 PPT文件介绍:74LS147 74LS48 74LS138
  3. 所属分类:专业指导

    • 发布日期:2009-05-13
    • 文件大小:1048576
    • 提供者:vc112
  1. 哈弗曼编译码器,数据结构作业

  2. 哈弗曼编译码器 其中包括多项选择项,数据结构作业习题,一共有400行代码,在vc下调试通过,很实用 其中包括文件操作
  3. 所属分类:其它

    • 发布日期:2009-05-15
    • 文件大小:379904
    • 提供者:hcyjy2009
  1. 哈夫曼编译码器(带运行截图)

  2. 数据结构课程设计哈夫曼编译码器,带运行截图
  3. 所属分类:其它

    • 发布日期:2009-05-22
    • 文件大小:44032
    • 提供者:hanlei569
  1. EDA七段数码显示译码器设计

  2. 七段数码显示译码器设计,VHD语言设计L
  3. 所属分类:其它

    • 发布日期:2009-05-23
    • 文件大小:319488
    • 提供者:hnicholastse
  1. VHDL语言编写的38译码器

  2. 38译码器,分别用case语句和if语句编写,均已通过仿真验证,并附有仿真波形图。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:4096
    • 提供者:qq625635824
  1. Matlab的卷积码译码器的设计与仿真

  2. 卷积码译码器的论文,对毕业设计很有用,可以仿真的。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:1048576
    • 提供者:liuqq20082009
  1. 编码器和译码器的设计

  2. 组成原理 课程设计报告 编码器和译码器的设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-19
    • 文件大小:470016
    • 提供者:yykable
  1. huffmans编码、译码器

  2. huffmans编码、译码器.适用于数据结构,用c++语言
  3. 所属分类:其它

    • 发布日期:2009-06-20
    • 文件大小:4096
    • 提供者:tanri1989
  1. 哈夫曼编/译码器(C++)

  2. 哈夫曼编/译码器,我大二时候学数据结构的时候写的 www.miaokuanghua.blog.163.com
  3. 所属分类:C++

    • 发布日期:2009-06-28
    • 文件大小:29696
    • 提供者:miaokuanghua
  1. 设计一个哈夫曼编译码器实现哈夫曼编译码

  2. 设计一个哈夫曼编译码器实现哈夫曼编译码,提高通信效率,初始化每个字符后即可对一串字符编码
  3. 所属分类:专业指导

    • 发布日期:2009-07-08
    • 文件大小:4096
    • 提供者:hustcsxj
  1. 数码显示译码器的设计程序 verilog

  2. 数码显示译码器的设计程序 verilog 欢迎下载
  3. 所属分类:专业指导

    • 发布日期:2009-08-20
    • 文件大小:57344
    • 提供者:wuxiaopingy123
  1. c8051文档\优先权交叉开关译码器

  2. c8051文档\优先权交叉开关译码器!!!!!!!!!
  3. 所属分类:C

    • 发布日期:2009-09-09
    • 文件大小:619520
    • 提供者:hjydown
  1. 4-16译码器vhedl源程序

  2. 用quartus7.2编写的4-16译码器的vhdl工程文件
  3. 所属分类:其它

    • 发布日期:2009-09-12
    • 文件大小:346112
    • 提供者:nt321123
  1. (2,1,2)模式的Viterbi译码器的C语言源代码

  2. 用C语言实现了 (2,1,2)模式的Viterbi译码器
  3. 所属分类:C

    • 发布日期:2009-09-13
    • 文件大小:5120
    • 提供者:yhmin1982
  1. ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才

  2. ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。
  3. 所属分类:专业指导

    • 发布日期:2009-09-24
    • 文件大小:106496
    • 提供者:lanchenglin2006
  1. 七段译码器CD4511

  2. 四线_七段锁存-驱动译码器的详细资料,PDF格式
  3. 所属分类:其它

    • 发布日期:2009-09-30
    • 文件大小:314368
    • 提供者:y7756
  1. 十进制计数器+七段译码器

  2. 用VHDL语言实现十进制计数器,并用七段译码器显示
  3. 所属分类:其它

    • 发布日期:2009-09-30
    • 文件大小:515
    • 提供者:songsiqi2006
« 12 3 4 5 6 7 8 9 10 ... 50 »