您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的直接序列扩频发射机的设计与仿真

  2. 本设计是基于FPGA的直接序列扩频发射机的设计与仿真,底层模块采用Verilog HDL语言描述,顶层采用方框图调用各底层模块,最后的仿真结果通过Matlab仿真画图。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-04
    • 文件大小:413696
    • 提供者:lymhust
  1. 基带码发生器程序设计与仿真

  2. 基于VHDL硬件描述语言的基带码发生器程序设计与仿真。包括非归零、单极性归零、双极性归零、交替极性、差分、分相码(曼彻斯特码)等。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-06
    • 文件大小:79872
    • 提供者:sukkqu
  1. 实例讲述FPGA的仿真与验证

  2. FPGA设计与仿真,通过具体的实例,讲述了如何调用MODELSIM进行仿真与验证
  3. 所属分类:嵌入式

    • 发布日期:2009-12-16
    • 文件大小:393216
    • 提供者:expressA
  1. 基于fpga的频率计程序设计与仿真

  2. 频率计程序设计与仿真 fpga 源代码 原理图 仿真
  3. 所属分类:C/C++

    • 发布日期:2012-05-12
    • 文件大小:150528
    • 提供者:v450love
  1. LCPD显示控制电路(FPGA设计与仿真实验)

  2. FPGA设计与仿真实验——LCPD显示控制电路实验参考模板
  3. 所属分类:专业指导

    • 发布日期:2014-06-26
    • 文件大小:335872
    • 提供者:qq_16934645
  1. 基于MATLAB的数字上变频器优化设计与仿真

  2. 充分利用性能日益完善的FPGA技术,应用软件无线电思想对数字上变频器进行系统构建,使用MATLAB软件对其中关键的平方根升余弦成形滤波器(RCF)、带外抑制FIR滤波器、半带滤波器(HB)、CIC滤波器进行设计和仿真,重点研究如何实现最优数字信道。
  3. 所属分类:其它

    • 发布日期:2020-06-02
    • 文件大小:327680
    • 提供者:weixin_38704835
  1. 用FPGA实现多路PWM输出的接口设计与仿真

  2. 在电机控制等许多应用场合,需要产生多路频率和脉冲宽度可调的PWM波形。本文用Altera公司FPGA产品开发工具QuartusⅡ,设计了6路PWM输出接口,并下载到FPGA,实现与CPU的协同工作。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:184320
    • 提供者:weixin_38687505
  1. 基于FPGA的高速PID控制器设计与仿真

  2. 本设计中所采用的增量式数字PID控制算法的设计思想可以应用到有限长单位脉冲响应(FIR)滤波器和无限长单位脉冲响应(ⅡR)滤波器的FPGA设计中,并且同样可以使用流水线优化技术以提高工作速度。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:182272
    • 提供者:weixin_38514322
  1. π/4-DQPSK差分解调器的数字化FPGA设计与实现

  2. 给出了采用FPGA设计芯片技术对QPSK解调器进行设计的实现方法。该方法可将解调器中原有的多种专用芯片的功能集成在一片大规模可编程逻辑器件FPGA上,从而实现了高度集成化和小型化。仿真结果表明,该方案具有突出的灵活性和高效性,可为设计者提供多种可自由选择的设计方法和工具。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:263168
    • 提供者:weixin_38604951
  1. VGA图像控制器的CPLD/FPGA设计与实现

  2. 利用可编程器件CPLD/FPGA实现VGA彩色显示控制器在工业现场中有许多实际应用。以硬件描述语言VHDL对可编程器件进行功能模块设计、仿真综合,可实现VGA显示控制器显示各种图形、图像、文字,并实现了动画效果。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:77824
    • 提供者:weixin_38697979
  1. GF(2m)域椭圆曲线点乘算法安全FPGA设计与实现

  2. 点乘算法是椭圆曲线密码体制中决定速度和硬件资源的关键部分。在深入分析混合结构乘法器并在FPGA上实现经典椭圆曲线点乘算法基础上,设计与实现了一种基于NAF编码混合结构乘法器思想的椭圆曲线点乘算法。对实现的点乘算法进行仿真测试和性能评估表明,新设计实现的基于混合结构乘法器的点乘算法在计算速度和资源使用上具有明显优势。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:277504
    • 提供者:weixin_38693311
  1. 通信与网络中的种扩频通信调制器的FPGA设计与仿真

  2. 近年来,随着经济的高速增长,无线通信得到了飞速地发展。由于扩展频谱信号具有抗干扰、保密、抗侦破和抗衰落等特点,扩频通信在军事无线通信领域(如测控通信)中被广泛应用;随着技术的成熟及成本的降低,其在民用通信市场上具有更广大的发展前景。   本文首先介绍了FPGA的设计思想及流程,然后以一种扩频通信调制器为例,描述了如何实现自顶向下的设计:包括调制器的顶层设计、划分的下一层基本单元的设计等,并重点分析了基本单元之一的PN码产生器的设计实现及仿真验证过程。   FPGA设计方法简介   FPGA
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:70656
    • 提供者:weixin_38743737
  1. 通信与网络中的短波扩频通信系统中数字相关器的FPGA设计与实现

  2. 摘要:基于FPGA设计的数字相关器,对前端模数/转换器在384kbps采样率下采得的数据进行希尔波特变换,再与本地序列做相关运算,最后将相关结果送给DSP,供DSP做进一步的处理。介绍了所选用的Stratix芯片,阐述了FPGA内部子模块的功能和设计实现方法,对所设计的FPGA数字相关器进行了仿真和校验,结果达到了设计要求。 关键词:数字相关器 FPGA 希尔波特变换 Stratix采用FPGA器件可以将原来的电路板级产品集成为芯片级产品,从而降低了功耗、提高了可靠性,同时还可以很方便地对
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:109568
    • 提供者:weixin_38655767
  1. EDA/PLD中的VGA图像控制器的CPLD/FPGA设计与实现

  2. 摘 要: 本文介绍了一种利用可编程器件CPLD/FPGA实现VGA图像控制器的VHDL设计方案,并给出了一些重要模块的VHDL源程序。 关键词: VGA;VHDL;CPLD/FPGA;仿真综合;EDA 现代电子设计技术的核心是EDA技术。EDA技术依赖功能强大的计算机,在EDA软件工具平台上,以硬件描述语言VHDL为系统逻辑描述的主要手段完成系统设计。 利用可编程器件CPLD/FPGA实现VGA彩色显示控制器在工业现场中有许多实际应用。以硬件描述语言VHDL对可编程器件进行功能模块设计、仿真综合
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:82944
    • 提供者:weixin_38625559
  1. 用FPGA实现多路PWM输出的接口设计与仿真

  2. 0 引言   在许多嵌入式系统的实际应用中,需要扩展FP-GA(现场可编程门阵列)模块,将CPU实现有困难或实现效率低的部分用FPGA实现,如数字信号处理、硬件数字滤波器、各种算法等,或者利用FPGA来扩展I/O接口,如实现多路PWM(脉宽调制)输出、实现PCI接口扩展等。通过合理的系统软硬件功能划分,结合优秀高效的FPGA设计,整个嵌入式系统的效率和功能可以得到最大限度的提高。   在电机控制等许多应用场合,需要产生多路频率和脉冲宽度可调的PWM波形。本文用Altera公司FPGA产品开发
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:138240
    • 提供者:weixin_38595243
  1. 短波扩频通信系统中数字相关器的FPGA设计与实现

  2. 摘要:基于FPGA设计的数字相关器,对前端模数/转换器在384kbps采样率下采得的数据进行希尔波特变换,再与本地序列做相关运算,最后将相关结果送给DSP,供DSP做进一步的处理。介绍了所选用的Stratix芯片,阐述了FPGA内部子模块的功能和设计实现方法,对所设计的FPGA数字相关器进行了仿真和校验,结果达到了设计要求。关键词:数字相关器FPGA希尔波特变换Stratix采用FPGA器件可以将原来的电路板级产品集成为芯片级产品,从而降低了功耗、提高了可靠性,同时还可以很方便地对设计进行在线修
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:194560
    • 提供者:weixin_38620099
  1. 智能测控电路片上系统的设计与仿真

  2. 摘 要:智能测量控制电路在工业测控及各类消费产品中应用极广,文中介绍了其基于8位嵌入式微控制器的单芯片系统的设计及仿真。在单芯片内完成智能测控系统所需的数据调理、模数转换、用户按键输入、数码显示、控制量输出等功能。设计了基于FPGA的硬件仿真平台,验证了系统功能的正确性。本设计具有较强的通用性,可用于多种测控场合。  关键词:智能测控;片上系统;微控制器;FPGA DesignandSimulationofSOCforIntelligentMeasurementandControlYUZhigu
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:294912
    • 提供者:weixin_38694800
  1. MFSK调制电路的FPGA设计与仿真

  2. 频移键控(FSK)是用不同频率的载波来传递数字信号,并用数字基带信号控制载波信号的频率。笔者提出了一种基于DDS(Digital Direct Synthesizer)技术的MFSK调制器的FPGA实现方案,并根据DSP 开发工具DSP Builder的优点,采用VHDL文本与Simulink 模型图相结合的方法进行了FPGA 设计与仿真。仿真结果验证了设计的正确性及可行性。
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:645120
    • 提供者:weixin_38740827
  1.  基于FPGA的帧同步器的设计与仿真

  2. 帧同步器在遥测接收系统中占据着十分重要作用。帧同步器的精确性直接决定遥测系统解调数据的正确性,是影响遥测系统的可靠性重要因素。首先,介绍了帧同步器的原理进行。然后,讨论了几种可以作为帧同步码组的码组。最后,对基于FPGA的帧同步器进行设计和仿真。仿真结果表明,这种帧同步器具有低复杂度、高可靠性和高灵活性等优点。
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:2097152
    • 提供者:weixin_38611254
  1. 基于FPGA的自动售货机的设计与仿真

  2. 对于售卖小商品的自动售货机本文采用自顶向下的设计方法,将整个系统划分为分频、主控制器、译码显示等模块。各模块均采用硬件描述语言VHDL,在Quartus II和Modelsim平台进行设计和仿真。该系统可设定多种类型商品的交易模式,并实现商品信息存储、商品选择、货币识别和找零、钱数和单价的显示等功能。
  3. 所属分类:其它

    • 发布日期:2021-01-27
    • 文件大小:1011712
    • 提供者:weixin_38528517
« 12 3 4 5 6 7 8 9 10 ... 45 »